OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [rlink/] [tb/] [rlinktblib.vhd] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 wfjm
-- $Id: rlinktblib.vhd 389 2011-07-07 21:59:00Z mueller $
2 2 wfjm
--
3
-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15 9 wfjm
-- Package Name:   rlinktblib
16
-- Description:    rlink test environment components
17 2 wfjm
--
18
-- Dependencies:   -
19 8 wfjm
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 11.4, 12.1; ghdl 0.18-0.29
20 2 wfjm
-- Revision History: 
21
-- Date         Rev Version  Comment
22 9 wfjm
-- 2010-12-29   351   3.0.1  add rbtba_aif;
23
-- 2010-12-24   347   3.0    rename rritblib->rlinktblib, CP_*->RL_*;
24
--                           many rri->rlink renames; drop rbus parts;
25 8 wfjm
-- 2010-11-13   338   2.5.2  add rritb_core_dcm
26 2 wfjm
-- 2010-06-26   309   2.5.1  add rritb_sres_or_mon
27
-- 2010-06-06   302   2.5    use sop/eop framing instead of soc+chaining
28
-- 2010-06-05   301   2.1.2  renamed _rpmon -> _rbmon
29
-- 2010-05-02   287   2.1.1  rename CE_XSEC->CE_INT,RP_STAT->RB_STAT
30
--                           drop RP_IINT signal from interfaces
31
--                           add sbcntl_sbf_(cp|rp)mon defs
32
-- 2010-04-24   282   2.1    add rritb_core
33
-- 2008-08-24   162   2.0    all with new rb_mreq/rb_sres interface
34
-- 2008-03-24   129   1.1.5  CLK_CYCLE now 31 bits
35
-- 2007-12-23   105   1.1.4  add AP_LAM  for rritb_rpmon(_sb)
36
-- 2007-11-24    98   1.1.3  add RP_IINT for rritb_rpmon(_sb)
37
-- 2007-09-01    78   1.1.2  add rricp_rp
38
-- 2007-08-25    75   1.1.1  add rritb_cpmon_sb, rritb_rpmon_sb
39
-- 2007-08-16    74   1.1    remove rritb_tt* component; some interface changes
40
-- 2007-08-03    71   1.0.2  use rrirp_acif; change generics for rritb_[cr]pmon
41
-- 2007-07-22    68   1.0.1  add rritb_cpmon rritb_rpmon monitors
42
-- 2007-07-15    66   1.0    Initial version
43
------------------------------------------------------------------------------
44
 
45
library ieee;
46
use ieee.std_logic_1164.all;
47
 
48
use work.slvtypes.all;
49 9 wfjm
use work.rlinklib.all;
50 2 wfjm
 
51 9 wfjm
package rlinktblib is
52 2 wfjm
 
53 9 wfjm
type rlink_tba_cntl_type is record      -- rlink_tba control
54
  cmd : slv3;                           -- command code
55
  ena : slbit;                          -- command enable
56
  addr : slv8;                          -- address
57
  cnt : slv8;                           -- block size
58
  eop : slbit;                          -- end packet after current command
59
end record rlink_tba_cntl_type;
60 2 wfjm
 
61 9 wfjm
constant rlink_tba_cntl_init : rlink_tba_cntl_type := (
62
           (others=>'0'),               -- cmd
63
           '0',                         -- ena
64
           (others=>'0'),               -- addr
65
           (others=>'0'),               -- cnt
66
           '0');                        -- eop
67
 
68
type rlink_tba_stat_type is record      -- rlink_tba status
69
  busy : slbit;                         -- command busy
70
  ack : slbit;                          -- command acknowledge
71
  err : slbit;                          -- command error flag
72
  stat : slv8;                          -- status flags
73
  braddr : slv8;                        -- block read address  (for wblk)
74
  bre : slbit;                          -- block read enable   (for wblk)
75
  bwaddr : slv8;                        -- block write address (for rblk)
76
  bwe : slbit;                          -- block write enable  (for rblk)
77
  attnpend : slbit;                     -- attn pending
78
  attnint : slbit;                      -- attn interrupt
79
end record rlink_tba_stat_type;
80 2 wfjm
 
81 9 wfjm
constant rlink_tba_stat_init : rlink_tba_stat_type := (
82
           '0','0','0',                 -- busy, ack, err
83
           (others=>'0'),               -- stat
84
           (others=>'0'),               -- braddr
85
           '0',                         -- bre
86
           (others=>'0'),               -- bwaddr
87
           '0',                         -- bwe
88
           '0','0');                    -- attnpend, attnint
89 2 wfjm
 
90 9 wfjm
component rlink_tba is                  -- rlink test bench adapter
91 2 wfjm
  port (
92
    CLK  : in slbit;                    -- clock
93 9 wfjm
    RESET  : in slbit;                  -- reset
94
    CNTL : in rlink_tba_cntl_type;      -- control port
95
    DI : in slv16;                      -- input data
96
    STAT : out rlink_tba_stat_type;     -- status port
97
    DO : out slv16;                     -- output data
98
    RL_DI : out slv9;                   -- rlink: data in
99
    RL_ENA : out slbit;                 -- rlink: data enable
100
    RL_BUSY : in slbit;                 -- rlink: data busy
101
    RL_DO : in slv9;                    -- rlink: data out
102
    RL_VAL : in slbit;                  -- rlink: data valid
103
    RL_HOLD : out slbit                 -- rlink: data hold
104 2 wfjm
  );
105
end component;
106
 
107 9 wfjm
component rbtba_aif is                  -- rbus tba, abstract interface
108
                                        -- no generics, no records
109 2 wfjm
  port (
110
    CLK  : in slbit;                    -- clock
111 9 wfjm
    RESET  : in slbit := '0';           -- reset
112
    RB_MREQ_aval : in slbit;            -- rbus: request - aval
113
    RB_MREQ_re : in slbit;              -- rbus: request - re
114
    RB_MREQ_we : in slbit;              -- rbus: request - we
115
    RB_MREQ_initt : in slbit;           -- rbus: request - init; avoid name coll
116
    RB_MREQ_addr : in slv8;             -- rbus: request - addr
117
    RB_MREQ_din : in slv16;             -- rbus: request - din
118
    RB_SRES_ack : out slbit;            -- rbus: response - ack
119
    RB_SRES_busy : out slbit;           -- rbus: response - busy
120
    RB_SRES_err : out slbit;            -- rbus: response - err
121
    RB_SRES_dout : out slv16;           -- rbus: response - dout
122
    RB_LAM : out slv16;                 -- rbus: look at me
123
    RB_STAT : out slv3                  -- rbus: status flags
124 2 wfjm
  );
125
end component;
126
 
127 9 wfjm
component tbcore_rlink is               -- core of vhpi_cext based test bench
128 2 wfjm
  generic (
129
    CLK_PERIOD : time :=  20 ns;        -- clock period
130
    CLK_OFFSET : time := 200 ns;        -- clock offset (time to start clock)
131
    SETUP_TIME : time :=   5 ns;        -- setup time
132
    C2OUT_TIME : time :=  10 ns);       -- clock to output time
133
  port (
134
    CLK : out slbit;                    -- main clock
135
    RX_DATA : out slv8;                 -- read data         (data ext->tb)
136
    RX_VAL : out slbit;                 -- read data valid   (data ext->tb)
137
    RX_HOLD : in slbit;                 -- read data hold    (data ext->tb)
138
    TX_DATA : in slv8;                  -- write data        (data tb->ext)
139
    TX_ENA : in slbit                   -- write data enable (data tb->ext)
140
  );
141
end component;
142
 
143 9 wfjm
component tbcore_rlink_dcm is           -- dcm aware core of vhpi_cext based tb
144 8 wfjm
  generic (
145
    CLKOSC_PERIOD : time :=  20 ns;     -- clock osc period
146
    CLKOSC_OFFSET : time := 200 ns;     -- clock osc offset (time to start clk)
147
    SETUP_TIME : time :=   5 ns;        -- setup time
148
    C2OUT_TIME : time :=  10 ns);       -- clock to output time
149
  port (
150
    CLKOSC : out slbit;                 -- clock osc
151
    CLKSYS : in slbit;                  -- DCM derived system clock
152
    RX_DATA : out slv8;                 -- read data         (data ext->tb)
153
    RX_VAL : out slbit;                 -- read data valid   (data ext->tb)
154
    RX_HOLD : in slbit;                 -- read data hold    (data ext->tb)
155
    TX_DATA : in slv8;                  -- write data        (data tb->ext)
156
    TX_ENA : in slbit                   -- write data enable (data tb->ext)
157
  );
158
end component;
159
 
160 9 wfjm
-- FIXME after this point !!
161
 
162 2 wfjm
component rricp_rp is                   -- rri comm->reg port aif forwarder
163
                                        -- implements rricp_aif, uses rrirp_aif
164
  port (
165
    CLK  : in slbit;                    -- clock
166
    CE_INT : in slbit := '0';           -- rri ito time unit clock enable
167
    RESET  : in slbit :='0';            -- reset
168 9 wfjm
    RL_DI : in slv9;                    -- rlink: data in
169
    RL_ENA : in slbit;                  -- rlink: data enable
170
    RL_BUSY : out slbit;                -- rlink: data busy
171
    RL_DO : out slv9;                   -- rlink: data out
172
    RL_VAL : out slbit;                 -- rlink: data valid
173
    RL_HOLD : in slbit := '0'           -- rlink: data hold
174 2 wfjm
  );
175
end component;
176
 
177 12 wfjm
end package rlinktblib;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.