OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [serport/] [serport_uart_rxtx.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 wfjm
-- $Id: serport_uart_rxtx.vhd 476 2013-01-26 22:23:53Z mueller $
2 2 wfjm
--
3 13 wfjm
-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    serport_uart_rxtx - syn
16
-- Description:    serial port UART - transmitter + receiver
17
--
18
-- Dependencies:   serport_uart_rx
19
--                 serport_uart_tx
20
-- Test bench:     tb/tb_serport_uart_rxtx
21
-- Target Devices: generic
22 13 wfjm
-- Tool versions:  xst 8.2, 9.1, 9.2, 11.4, 13.1; ghdl 0.18-0.29
23 2 wfjm
-- Revision History:
24
-- Date         Rev Version  Comment
25
-- 2007-06-24    60   1.0    Initial version 
26
------------------------------------------------------------------------------
27
 
28
library ieee;
29
use ieee.std_logic_1164.all;
30 13 wfjm
use ieee.numeric_std.all;
31 2 wfjm
 
32
use work.slvtypes.all;
33 19 wfjm
use work.serportlib.all;
34 2 wfjm
 
35
entity serport_uart_rxtx is             -- serial port uart: rx+tx combo
36
  generic (
37
    CDWIDTH : positive := 13);          -- clk divider width
38
  port (
39
    CLK : in slbit;                     -- clock
40
    RESET : in slbit;                   -- reset
41
    CLKDIV : in slv(CDWIDTH-1 downto 0); -- clock divider setting
42
    RXSD : in slbit;                    -- receive serial data (uart view)
43
    RXDATA : out slv8;                  -- receiver data out
44
    RXVAL : out slbit;                  -- receiver data valid
45
    RXERR : out slbit;                  -- receiver data error (frame error)
46
    RXACT : out slbit;                  -- receiver active
47
    TXSD : out slbit;                   -- transmit serial data (uart view)
48
    TXDATA : in slv8;                   -- transmit data in
49
    TXENA : in slbit;                   -- transmit data enable
50
    TXBUSY : out slbit                  -- transmit busy
51
  );
52
end serport_uart_rxtx;
53
 
54
architecture syn of serport_uart_rxtx is
55
 
56
begin
57
 
58
  RX : serport_uart_rx
59
    generic map (
60
      CDWIDTH => CDWIDTH)
61
    port map (
62
      CLK    => CLK,
63
      RESET  => RESET,
64
      CLKDIV => CLKDIV,
65
      RXSD   => RXSD,
66
      RXDATA => RXDATA,
67
      RXVAL  => RXVAL,
68
      RXERR  => RXERR,
69
      RXACT  => RXACT
70
    );
71
 
72
  TX : serport_uart_tx
73
    generic map (
74
      CDWIDTH => CDWIDTH)
75
    port map (
76
      CLK    => CLK,
77
      RESET  => RESET,
78
      CLKDIV => CLKDIV,
79
      TXSD   => TXSD,
80
      TXDATA => TXDATA,
81
      TXENA  => TXENA,
82
      TXBUSY => TXBUSY
83
    );
84
 
85
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.