OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [serport/] [serport_xonrx.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 wfjm
-- $Id: serport_xonrx.vhd 476 2013-01-26 22:23:53Z mueller $
2 16 wfjm
--
3
-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    serport_xonrx - syn
16
-- Description:    serial port: xon/xoff logic rx path
17
--
18
-- Dependencies:   -
19
-- Test bench:     -
20
-- Target Devices: generic
21
-- Tool versions:  xst 13.1; ghdl 0.29
22
-- Revision History: 
23
-- Date         Rev Version  Comment
24
-- 2011-10-22   417   1.0    Initial version 
25
------------------------------------------------------------------------------
26
 
27
library ieee;
28
use ieee.std_logic_1164.all;
29
use ieee.numeric_std.all;
30
 
31
use work.slvtypes.all;
32 19 wfjm
use work.serportlib.all;
33 16 wfjm
 
34
entity serport_xonrx is                 -- serial port: xon/xoff logic rx path
35
  port (
36
    CLK : in slbit;                     -- clock
37
    RESET : in slbit;                   -- reset
38
    ENAXON : in slbit;                  -- enable xon/xoff handling
39
    ENAESC : in slbit;                  -- enable xon/xoff escaping
40
    UART_RXDATA : in slv8;              -- uart data out
41
    UART_RXVAL : in slbit;              -- uart data valid
42
    RXDATA : out slv8;                  -- user data out
43
    RXVAL : out slbit;                  -- user data valid
44
    RXHOLD : in slbit;                  -- user data hold
45
    RXOVR : out slbit;                  -- user data overrun
46
    TXOK : out slbit                    -- tx channel ok
47
  );
48
end serport_xonrx;
49
 
50
 
51
architecture syn of serport_xonrx is
52
 
53
  type regs_type is record
54
    txok : slbit;                       -- tx channel ok state
55
    escseen : slbit;                    -- escape seen
56
    rxdata : slv8;                      -- user rxdata
57
    rxval : slbit;                      -- user rxval
58
    rxovr : slbit;                      -- user rxovr
59
  end record regs_type;
60
 
61
  constant regs_init : regs_type := (
62
    '1',                                -- txok (startup default is ok !!)
63
    '0',                                -- escseen
64
    (others=>'0'),                      -- rxdata
65
    '0','0'                             -- rxval,rxovr
66
  );
67
 
68
  signal R_REGS : regs_type := regs_init;  -- state registers
69
  signal N_REGS : regs_type := regs_init;  -- next value state regs
70
 
71
begin
72
 
73
  proc_regs: process (CLK)
74
  begin
75
 
76
    if rising_edge(CLK) then
77
      if RESET = '1' then
78
        R_REGS <= regs_init;
79
      else
80
        R_REGS <= N_REGS;
81
      end if;
82
    end if;
83
 
84
  end process proc_regs;
85
 
86
  proc_next: process (R_REGS, ENAXON, ENAESC, UART_RXDATA, UART_RXVAL, RXHOLD)
87
 
88
    variable r : regs_type := regs_init;
89
    variable n : regs_type := regs_init;
90
 
91
  begin
92
 
93
    r := R_REGS;
94
    n := R_REGS;
95
 
96
    if ENAXON = '0' then
97
      n.txok := '1';
98
    end if;
99
    if ENAESC = '0' then
100
      n.escseen := '0';
101
    end if;
102
 
103
    n.rxovr := '0';                     -- ensure single clock pulse
104
 
105
    if UART_RXVAL = '1' then
106
      if ENAXON='1' and UART_RXDATA=c_serport_xon then
107
        n.txok := '1';
108
      elsif ENAXON='1' and UART_RXDATA=c_serport_xoff then
109
        n.txok := '0';
110
      elsif ENAESC='1' and UART_RXDATA=c_serport_xesc then
111
        n.escseen := '1';
112
 
113
      else
114
        if r.escseen = '1' then
115
          n.escseen := '0';
116
        end if;
117
 
118
        if r.rxval = '0' then
119
          n.rxval := '1';
120
          if r.escseen = '1' then
121
            n.rxdata := not UART_RXDATA;
122
          else
123
            n.rxdata := UART_RXDATA;
124
          end if;
125
        else
126
          n.rxovr := '1';
127
        end if;
128
      end if;
129
    end if;
130
 
131
    if r.rxval='1' and RXHOLD='0' then
132
      n.rxval := '0';
133
    end if;
134
 
135
    N_REGS <= n;
136
 
137
    RXDATA <= r.rxdata;
138
    RXVAL  <= r.rxval;
139
    RXOVR  <= r.rxovr;
140
    TXOK   <= r.txok;
141
 
142
  end process proc_next;
143
 
144
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.