OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [slvtypes.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: slvtypes.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2007-2008 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Package Name:   slvtypes
16
-- Description:    Short names for std_logic types.
17
--                 This package simply defines short hands for the std_logic
18
--                 types. slbit and slv are just aliases for std_logic and
19
--                 std_logic_vector. slv<n> are subtype definitions for
20
--                 commonly used (n downto 0) vectors
21
--
22
-- Dependencies:   -
23
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
24
-- Revision History: 
25
-- Date         Rev Version  Comment
26
-- 2008-08-24   162   1.0.4  add slv60 and 64
27
-- 2008-08-22   161   1.0.3  add slvnn_m subtypes from pdp11 package
28
-- 2008-03-24   129   1.0.2  add slv31
29
-- 2007-12-08   100   1.0.1  add slv1
30
-- 2007-06-02    44   1.0    Initial version 
31
------------------------------------------------------------------------------
32
 
33
library ieee;
34
use ieee.std_logic_1164.all;
35
 
36
package slvtypes is
37
 
38
  subtype slbit is std_logic;                      -- bit
39
  subtype slv   is std_logic_vector;               -- vector
40
 
41
  subtype slv1  is std_logic_vector( 0 downto 0);  --  1 bit word
42
  subtype slv2  is std_logic_vector( 1 downto 0);  --  2 bit word
43
  subtype slv3  is std_logic_vector( 2 downto 0);  --  3 bit word
44
  subtype slv4  is std_logic_vector( 3 downto 0);  --  4 bit word
45
  subtype slv5  is std_logic_vector( 4 downto 0);  --  5 bit word
46
  subtype slv6  is std_logic_vector( 5 downto 0);  --  6 bit word
47
  subtype slv7  is std_logic_vector( 6 downto 0);  --  7 bit word
48
  subtype slv8  is std_logic_vector( 7 downto 0);  --  8 bit word
49
  subtype slv9  is std_logic_vector( 8 downto 0);  --  9 bit word
50
  subtype slv10 is std_logic_vector( 9 downto 0);  -- 10 bit word
51
  subtype slv11 is std_logic_vector(10 downto 0);  -- 11 bit word
52
  subtype slv12 is std_logic_vector(11 downto 0);  -- 12 bit word
53
  subtype slv13 is std_logic_vector(12 downto 0);  -- 13 bit word
54
  subtype slv14 is std_logic_vector(13 downto 0);  -- 14 bit word
55
  subtype slv15 is std_logic_vector(14 downto 0);  -- 15 bit word
56
  subtype slv16 is std_logic_vector(15 downto 0);  -- 16 bit word
57
 
58
  subtype slv17 is std_logic_vector(16 downto 0);  -- 17 bit word
59
  subtype slv18 is std_logic_vector(17 downto 0);  -- 18 bit word
60
  subtype slv19 is std_logic_vector(18 downto 0);  -- 19 bit word
61
  subtype slv20 is std_logic_vector(19 downto 0);  -- 20 bit word
62
  subtype slv21 is std_logic_vector(20 downto 0);  -- 21 bit word
63
  subtype slv22 is std_logic_vector(21 downto 0);  -- 22 bit word
64
  subtype slv23 is std_logic_vector(22 downto 0);  -- 23 bit word
65
  subtype slv24 is std_logic_vector(23 downto 0);  -- 24 bit word
66
  subtype slv31 is std_logic_vector(30 downto 0);  -- 31 bit word
67
  subtype slv32 is std_logic_vector(31 downto 0);  -- 32 bit word
68
 
69
  subtype slv60 is std_logic_vector(59 downto 0);  -- 59 bit word
70
  subtype slv64 is std_logic_vector(63 downto 0);  -- 63 bit word
71
 
72
  subtype slv8_1  is std_logic_vector(7 downto 1);   --  8 bit word, 1 lsb drop
73
  subtype slv9_2  is std_logic_vector(8 downto 2);   --  9 bit word, 2 lsb drop
74
  subtype slv13_1 is std_logic_vector(12 downto 1);  -- 13 bit word, 1 lsb drop
75
  subtype slv16_1 is std_logic_vector(15 downto 1);  -- 16 bit word, 1 lsb drop
76
  subtype slv18_1 is std_logic_vector(17 downto 1);  -- 18 bit word, 1 lsb drop
77
  subtype slv22_1 is std_logic_vector(21 downto 1);  -- 22 bit word, 1 lsb drop
78
 
79
end package slvtypes;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.