OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [w11a/] [pdp11_gpr.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 wfjm
-- $Id: pdp11_gpr.vhd 427 2011-11-19 21:04:11Z mueller $
2 2 wfjm
--
3 13 wfjm
-- Copyright 2006-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_gpr - syn
16
-- Description:    pdp11: general purpose registers
17
--
18
-- Dependencies:   memlib/ram_1swar_1ar_gen
19
--
20
-- Test bench:     tb/tb_pdp11_core (implicit)
21
-- Target Devices: generic
22 13 wfjm
-- Tool versions:  xst 8.2, 9.1, 9.2, 13.1; ghdl 0.18-0.29
23 2 wfjm
-- Revision History: 
24
-- Date         Rev Version  Comment
25 13 wfjm
-- 2011-11-18   427   1.0.4  now numeric_std clean
26 2 wfjm
-- 2008-08-22   161   1.0.3  rename ubf_ -> ibf_; use iblib
27
-- 2007-12-30   108   1.0.2  use ubf_byte[01]
28
-- 2007-06-14    56   1.0.1  Use slvtypes.all
29
-- 2007-05-12    26   1.0    Initial version 
30
------------------------------------------------------------------------------
31
 
32
library ieee;
33
use ieee.std_logic_1164.all;
34 13 wfjm
use ieee.numeric_std.all;
35 2 wfjm
 
36
use work.slvtypes.all;
37
use work.memlib.all;
38
use work.iblib.all;
39
use work.pdp11.all;
40
 
41
-- ----------------------------------------------------------------------------
42
 
43
entity pdp11_gpr is                     -- general purpose registers
44
  port (
45
    CLK    : in slbit;                  -- clock
46
    DIN   : in slv16;                   -- input data
47
    ASRC   : in slv3;                   -- source register number
48
    ADST   : in slv3;                   -- destination register number
49
    MODE   : in slv2;                   -- processor mode (k=>00,s=>01,u=>11)
50
    RSET   : in slbit;                  -- register set
51
    WE     : in slbit;                  -- write enable
52
    BYTOP  : in slbit;                  -- byte operation (write low byte only)
53
    PCINC  : in slbit;                  -- increment PC
54
    DSRC : out slv16;                   -- source register data
55
    DDST : out slv16;                   -- destination register data
56
    PC     : out slv16                  -- current PC value
57
  );
58
end pdp11_gpr;
59
 
60
architecture syn of pdp11_gpr is
61
 
62
-- --------------------------------------
63
-- the register map determines the internal register file storage address
64
-- of a register. The mapping is
65
--    ADDR  RNUM SET MODE
66
--    0000   000  0  --    R0 set 0
67
--    0001   001  0  --    R1 set 0
68
--    0010   010  0  --    R2 set 0
69
--    0011   011  0  --    R3 set 0
70
--    0100   100  0  --    R4 set 0
71
--    0101   101  0  --    R5 set 0
72
--    0110   110  -  00    SP kernel mode
73
--    0111   110  -  01    SP supervisor mode
74
--    1000   000  1  --    R0 set 1
75
--    1001   001  1  --    R1 set 1
76
--    1010   010  1  --    R2 set 1
77
--    1011   011  1  --    R3 set 1
78
--    1100   100  1  --    R4 set 1
79
--    1101   101  1  --    R5 set 1
80
--    1110   111  -  --    PC 
81
--    1111   110  -  11    SP user mode
82
 
83
  procedure do_regmap (
84
      signal RNUM : in slv3;            -- register number
85
      signal MODE : in slv2;            -- processor mode (k=>00,s=>01,u=>11)
86
      signal RSET : in slbit;           -- register set
87
      signal ADDR : out slv4            -- internal address in regfile
88
    ) is
89
  begin
90
    if RNUM = c_gpr_pc then
91
      ADDR <= "1110";
92
    elsif RNUM = c_gpr_sp then
93
      ADDR <= MODE(1) & "11" & MODE(0);
94
    else
95
      ADDR <= RSET & RNUM;
96
    end if;
97
  end procedure do_regmap;
98
 
99
-- --------------------------------------
100
 
101
  signal MASRC : slv4 := (others=>'0'); -- mapped source register address
102
  signal MADST : slv4 := (others=>'0'); -- mapped destination register address
103
  signal WE1 : slbit := '0';            -- write enable high byte
104
  signal MEMSRC : slv16 := (others=>'0');-- source reg data from memory
105
  signal MEMDST : slv16 := (others=>'0');-- destination reg data from memory
106
  signal R_PC : slv16 := (others=>'0'); -- PC register
107
 
108
begin
109
 
110
  do_regmap(RNUM => ASRC, MODE => MODE, RSET => RSET, ADDR => MASRC);
111
  do_regmap(RNUM => ADST, MODE => MODE, RSET => RSET, ADDR => MADST);
112
 
113
  WE1 <= WE and not BYTOP;
114
 
115
  GPR_LOW : ram_1swar_1ar_gen
116
    generic map (
117
      AWIDTH => 4,
118
      DWIDTH => 8)
119
    port map (
120
      CLK   => CLK,
121
      WE    => WE,
122
      ADDRA => MADST,
123
      ADDRB => MASRC,
124
      DI    => DIN(ibf_byte0),
125
      DOA   => MEMDST(ibf_byte0),
126
      DOB   => MEMSRC(ibf_byte0));
127
 
128
  GPR_HIGH : ram_1swar_1ar_gen
129
    generic map (
130
      AWIDTH => 4,
131
      DWIDTH => 8)
132
    port map (
133
      CLK   => CLK,
134
      WE    => WE1,
135
      ADDRA => MADST,
136
      ADDRB => MASRC,
137
      DI    => DIN(ibf_byte1),
138
      DOA   => MEMDST(ibf_byte1),
139
      DOB   => MEMSRC(ibf_byte1));
140
 
141
  proc_pc : process (CLK)
142
    alias R_PC15 : slv15 is R_PC(15 downto 1);  -- upper 15 bit of PC
143
  begin
144 13 wfjm
    if rising_edge(CLK) then
145 2 wfjm
      if WE='1' and ADST=c_gpr_pc then
146
        R_PC(ibf_byte0) <= DIN(ibf_byte0);
147
        if BYTOP = '0' then
148
          R_PC(ibf_byte1) <= DIN(ibf_byte1);
149
        end if;
150
      elsif PCINC = '1' then
151 13 wfjm
        R_PC15 <= slv(unsigned(R_PC15) + 1);
152 2 wfjm
      end if;
153
    end if;
154
  end process proc_pc;
155
 
156
  DSRC <= R_PC when ASRC=c_gpr_pc else MEMSRC;
157
  DDST <= R_PC when ADST=c_gpr_pc else MEMDST;
158
  PC <= R_PC;
159
 
160
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.