OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [w11a/] [pdp11_gpr.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: pdp11_gpr.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2006-2007 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_gpr - syn
16
-- Description:    pdp11: general purpose registers
17
--
18
-- Dependencies:   memlib/ram_1swar_1ar_gen
19
--
20
-- Test bench:     tb/tb_pdp11_core (implicit)
21
-- Target Devices: generic
22
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
23
-- Revision History: 
24
-- Date         Rev Version  Comment
25
-- 2008-08-22   161   1.0.3  rename ubf_ -> ibf_; use iblib
26
-- 2007-12-30   108   1.0.2  use ubf_byte[01]
27
-- 2007-06-14    56   1.0.1  Use slvtypes.all
28
-- 2007-05-12    26   1.0    Initial version 
29
------------------------------------------------------------------------------
30
 
31
library ieee;
32
use ieee.std_logic_1164.all;
33
use ieee.std_logic_arith.all;
34
 
35
use work.slvtypes.all;
36
use work.memlib.all;
37
use work.iblib.all;
38
use work.pdp11.all;
39
 
40
-- ----------------------------------------------------------------------------
41
 
42
entity pdp11_gpr is                     -- general purpose registers
43
  port (
44
    CLK    : in slbit;                  -- clock
45
    DIN   : in slv16;                   -- input data
46
    ASRC   : in slv3;                   -- source register number
47
    ADST   : in slv3;                   -- destination register number
48
    MODE   : in slv2;                   -- processor mode (k=>00,s=>01,u=>11)
49
    RSET   : in slbit;                  -- register set
50
    WE     : in slbit;                  -- write enable
51
    BYTOP  : in slbit;                  -- byte operation (write low byte only)
52
    PCINC  : in slbit;                  -- increment PC
53
    DSRC : out slv16;                   -- source register data
54
    DDST : out slv16;                   -- destination register data
55
    PC     : out slv16                  -- current PC value
56
  );
57
end pdp11_gpr;
58
 
59
architecture syn of pdp11_gpr is
60
 
61
-- --------------------------------------
62
-- the register map determines the internal register file storage address
63
-- of a register. The mapping is
64
--    ADDR  RNUM SET MODE
65
--    0000   000  0  --    R0 set 0
66
--    0001   001  0  --    R1 set 0
67
--    0010   010  0  --    R2 set 0
68
--    0011   011  0  --    R3 set 0
69
--    0100   100  0  --    R4 set 0
70
--    0101   101  0  --    R5 set 0
71
--    0110   110  -  00    SP kernel mode
72
--    0111   110  -  01    SP supervisor mode
73
--    1000   000  1  --    R0 set 1
74
--    1001   001  1  --    R1 set 1
75
--    1010   010  1  --    R2 set 1
76
--    1011   011  1  --    R3 set 1
77
--    1100   100  1  --    R4 set 1
78
--    1101   101  1  --    R5 set 1
79
--    1110   111  -  --    PC 
80
--    1111   110  -  11    SP user mode
81
 
82
  procedure do_regmap (
83
      signal RNUM : in slv3;            -- register number
84
      signal MODE : in slv2;            -- processor mode (k=>00,s=>01,u=>11)
85
      signal RSET : in slbit;           -- register set
86
      signal ADDR : out slv4            -- internal address in regfile
87
    ) is
88
  begin
89
    if RNUM = c_gpr_pc then
90
      ADDR <= "1110";
91
    elsif RNUM = c_gpr_sp then
92
      ADDR <= MODE(1) & "11" & MODE(0);
93
    else
94
      ADDR <= RSET & RNUM;
95
    end if;
96
  end procedure do_regmap;
97
 
98
-- --------------------------------------
99
 
100
  signal MASRC : slv4 := (others=>'0'); -- mapped source register address
101
  signal MADST : slv4 := (others=>'0'); -- mapped destination register address
102
  signal WE1 : slbit := '0';            -- write enable high byte
103
  signal MEMSRC : slv16 := (others=>'0');-- source reg data from memory
104
  signal MEMDST : slv16 := (others=>'0');-- destination reg data from memory
105
  signal R_PC : slv16 := (others=>'0'); -- PC register
106
 
107
begin
108
 
109
  do_regmap(RNUM => ASRC, MODE => MODE, RSET => RSET, ADDR => MASRC);
110
  do_regmap(RNUM => ADST, MODE => MODE, RSET => RSET, ADDR => MADST);
111
 
112
  WE1 <= WE and not BYTOP;
113
 
114
  GPR_LOW : ram_1swar_1ar_gen
115
    generic map (
116
      AWIDTH => 4,
117
      DWIDTH => 8)
118
    port map (
119
      CLK   => CLK,
120
      WE    => WE,
121
      ADDRA => MADST,
122
      ADDRB => MASRC,
123
      DI    => DIN(ibf_byte0),
124
      DOA   => MEMDST(ibf_byte0),
125
      DOB   => MEMSRC(ibf_byte0));
126
 
127
  GPR_HIGH : ram_1swar_1ar_gen
128
    generic map (
129
      AWIDTH => 4,
130
      DWIDTH => 8)
131
    port map (
132
      CLK   => CLK,
133
      WE    => WE1,
134
      ADDRA => MADST,
135
      ADDRB => MASRC,
136
      DI    => DIN(ibf_byte1),
137
      DOA   => MEMDST(ibf_byte1),
138
      DOB   => MEMSRC(ibf_byte1));
139
 
140
  proc_pc : process (CLK)
141
    alias R_PC15 : slv15 is R_PC(15 downto 1);  -- upper 15 bit of PC
142
  begin
143
    if CLK'event and CLK='1' then
144
      if WE='1' and ADST=c_gpr_pc then
145
        R_PC(ibf_byte0) <= DIN(ibf_byte0);
146
        if BYTOP = '0' then
147
          R_PC(ibf_byte1) <= DIN(ibf_byte1);
148
        end if;
149
      elsif PCINC = '1' then
150
        R_PC15 <= unsigned(R_PC15) + 1;
151
      end if;
152
    end if;
153
  end process proc_pc;
154
 
155
  DSRC <= R_PC when ASRC=c_gpr_pc else MEMSRC;
156
  DDST <= R_PC when ADST=c_gpr_pc else MEMDST;
157
  PC <= R_PC;
158
 
159
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.