OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [w11a/] [pdp11_irq.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 wfjm
-- $Id: pdp11_irq.vhd 427 2011-11-19 21:04:11Z mueller $
2 2 wfjm
--
3 13 wfjm
-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_irq - syn
16
-- Description:    pdp11: interrupt requester
17
--
18 8 wfjm
-- Dependencies:   ib_sel
19 2 wfjm
-- Test bench:     tb/tb_pdp11_core (implicit)
20
-- Target Devices: generic
21 13 wfjm
-- Tool versions:  xst 8.2, 9.1, 9.2, 12.1, 13.1; ghdl 0.18-0.29
22 8 wfjm
--
23 2 wfjm
-- Revision History: 
24
-- Date         Rev Version  Comment
25 13 wfjm
-- 2011-11-18   427   1.2.2  now numeric_std clean
26 8 wfjm
-- 2010-10-23   335   1.2.1  use ib_sel
27
-- 2010-10-17   333   1.2    use ibus V2 interface
28 2 wfjm
-- 2008-08-22   161   1.1.4  use iblib
29
-- 2008-04-25   138   1.1.3  use BRESET to clear pirq
30
-- 2008-01-06   111   1.1.2  rename signal EI_ACK->EI_ACKM (master ack)
31
-- 2008-01-05   110   1.1.1  rename IB_MREQ(ena->req) SRES(sel->ack, hold->busy)
32
-- 2007-12-30   107   1.1    use IB_MREQ/IB_SRES interface now
33
-- 2007-10-12    88   1.0.2  avoid ieee.std_logic_unsigned, use cast to unsigned
34
-- 2007-06-14    56   1.0.1  Use slvtypes.all
35
-- 2007-05-12    26   1.0    Initial version 
36
------------------------------------------------------------------------------
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40 13 wfjm
use ieee.numeric_std.all;
41 2 wfjm
 
42
use work.slvtypes.all;
43
use work.iblib.all;
44
use work.pdp11.all;
45
 
46
-- ----------------------------------------------------------------------------
47
 
48
entity pdp11_irq is                     -- interrupt requester
49
  port (
50
    CLK : in slbit;                     -- clock
51
    BRESET : in slbit;                  -- ibus reset
52
    INT_ACK : in slbit;                 -- interrupt acknowledge from CPU
53
    EI_PRI : in slv3;                   -- external interrupt priority
54
    EI_VECT : in slv9_2;                -- external interrupt vector
55
    EI_ACKM : out slbit;                -- external interrupt acknowledge
56
    PRI : out slv3;                     -- interrupt priority
57
    VECT : out slv9_2;                  -- interrupt vector
58
    IB_MREQ : in ib_mreq_type;          -- ibus request
59
    IB_SRES : out ib_sres_type          -- ibus response
60
  );
61
end pdp11_irq;
62
 
63
architecture syn of pdp11_irq is
64
 
65 13 wfjm
  constant ibaddr_pirq : slv16 := slv(to_unsigned(8#177772#,16));
66 2 wfjm
 
67
  subtype  pirq_ubf_pir    is integer range 15 downto 9;
68
  subtype  pirq_ubf_pia_h  is integer range  7 downto 5;
69
  subtype  pirq_ubf_pia_l  is integer range  3 downto 1;
70
 
71
  signal IBSEL_PIRQ : slbit := '0';
72
  signal R_PIRQ : slv8_1 := (others => '0');  -- pirq register
73
  signal PI_PRI : slv3 := (others => '0');   -- prog.int. priority
74
 
75
--  attribute PRIORITY_EXTRACT : string;
76
--  attribute PRIORITY_EXTRACT of PI_PRI : signal is "force";
77
 
78
begin
79
 
80 8 wfjm
  SEL : ib_sel
81
    generic map (
82
      IB_ADDR => ibaddr_pirq)
83
    port map (
84
      CLK     => CLK,
85
      IB_MREQ => IB_MREQ,
86
      SEL     => IBSEL_PIRQ
87
    );
88 2 wfjm
 
89 8 wfjm
  proc_ibres : process (IBSEL_PIRQ, IB_MREQ, R_PIRQ, PI_PRI)
90
    variable idout : slv16 := (others=>'0');
91 2 wfjm
  begin
92 8 wfjm
    idout := (others=>'0');
93 2 wfjm
    if IBSEL_PIRQ = '1' then
94 8 wfjm
      idout(pirq_ubf_pir)   := R_PIRQ;
95
      idout(pirq_ubf_pia_h) := PI_PRI;
96
      idout(pirq_ubf_pia_l) := PI_PRI;
97 2 wfjm
    end if;
98 8 wfjm
    IB_SRES.dout <= idout;
99
    IB_SRES.ack  <= IBSEL_PIRQ and (IB_MREQ.re or IB_MREQ.we); -- ack all
100
    IB_SRES.busy <= '0';
101
  end process proc_ibres;
102 2 wfjm
 
103
  proc_pirq : process (CLK)
104
  begin
105 13 wfjm
    if rising_edge(CLK) then
106 2 wfjm
      if BRESET = '1' then
107
        R_PIRQ <= (others => '0');
108
      elsif IBSEL_PIRQ='1' and IB_MREQ.we='1'and IB_MREQ.be1='1'  then
109
        R_PIRQ <= IB_MREQ.din(pirq_ubf_pir);
110
      end if;
111
    end if;
112
  end process proc_pirq;
113
 
114
  PI_PRI <= "111" when R_PIRQ(7)='1' else
115
            "110" when R_PIRQ(6)='1' else
116
            "101" when R_PIRQ(5)='1' else
117
            "100" when R_PIRQ(4)='1' else
118
            "011" when R_PIRQ(3)='1' else
119
            "010" when R_PIRQ(2)='1' else
120
            "001" when R_PIRQ(1)='1' else
121
            "000";
122
 
123
  proc_irq : process (PI_PRI, EI_PRI, EI_VECT, INT_ACK)
124 13 wfjm
    constant vect_default : slv9 := slv(to_unsigned(8#240#,9));
125 2 wfjm
  begin
126
 
127
    EI_ACKM <= '0';
128
 
129
    if unsigned(EI_PRI) > unsigned(PI_PRI) then
130
      PRI  <= EI_PRI;
131
      VECT <= EI_VECT;
132
      EI_ACKM <= INT_ACK;
133
    else
134
      PRI  <= PI_PRI;
135 13 wfjm
      VECT <= vect_default(8 downto 2);
136 2 wfjm
    end if;
137
 
138
  end process proc_irq;
139
 
140
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.