OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [w11a/] [pdp11_mmu_sadr.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: pdp11_mmu_sadr.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2006-2008 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_mmu_sadr - syn
16
-- Description:    pdp11: mmu SAR/SDR register set
17
--
18
-- Dependencies:   memlib/ram_1swar_gen
19
--
20
-- Test bench:     tb/tb_pdp11_core (implicit)
21
-- Target Devices: generic
22
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
23
-- Revision History: 
24
-- Date         Rev Version  Comment
25
-- 2008-08-22   161   1.2.2  rename ubf_ -> ibf_; use iblib
26
-- 2008-01-05   110   1.2.1  rename _mmu_regs -> _mmu_sadr
27
--                           rename IB_MREQ(ena->req) SRES(sel->ack, hold->busy)
28
-- 2008-01-01   109   1.2    renamed from _mmu_regfile.
29
--                           redesign of _mmu register file, use one large dram.
30
--                           logic from _mmu_regfile, interface from _mmu_regset
31
-- 2007-12-30   108   1.1.1  use ubf_byte[01]; move SADR memory address mux here
32
-- 2007-12-30   107   1.1    use IB_MREQ/IB_SRES interface now
33
-- 2007-06-14    56   1.0.1  Use slvtypes.all
34
-- 2007-05-12    26   1.0    Initial version 
35
------------------------------------------------------------------------------
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
use ieee.std_logic_arith.all;
40
 
41
use work.slvtypes.all;
42
use work.memlib.all;
43
use work.iblib.all;
44
use work.pdp11.all;
45
 
46
-- ----------------------------------------------------------------------------
47
 
48
entity pdp11_mmu_sadr is                -- mmu SAR/SDR register set
49
  port (
50
    CLK : in slbit;                     -- clock
51
    MODE : in slv2;                     -- mode
52
    ASN : in slv4;                      -- augmented segment number (1+3 bit)
53
    AIB_WE : in slbit;                  -- update AIB
54
    AIB_SETA : in slbit;                -- set access AIB
55
    AIB_SETW : in slbit;                -- set write AIB
56
    SARSDR : out sarsdr_type;           -- combined SAR/SDR
57
    IB_MREQ : in ib_mreq_type;          -- ibus request
58
    IB_SRES : out ib_sres_type          -- ibus response
59
  );
60
end pdp11_mmu_sadr;
61
 
62
architecture syn of pdp11_mmu_sadr is
63
 
64
  --             bit 1 111 1
65
  --             bit 5 432 109 876 543 210
66
  --
67
  -- kmdr 172300 ->  1 111 010 011 000 000
68
  -- kmar 172340 ->  1 111 010 011 100 000
69
  -- smdr 172200 ->  1 111 010 010 000 000
70
  -- smar 172240 ->  1 111 010 010 100 000
71
  -- umdr 177600 ->  1 111 111 110 000 000
72
  -- umar 177640 ->  1 111 111 110 100 000
73
  --
74
  --  mode => (addr(8), not addr(6))   [Note: km "00" sm "01" um "11"]
75
 
76
  constant ibaddr_kmdar : slv16 := conv_std_logic_vector(8#172300#,16);
77
  constant ibaddr_smdar : slv16 := conv_std_logic_vector(8#172200#,16);
78
  constant ibaddr_umdar : slv16 := conv_std_logic_vector(8#177600#,16);
79
 
80
  subtype sdr_ibf_slf is integer range 14 downto 8;
81
  subtype sdr_ibf_aib is integer range  7 downto 6;
82
  subtype sdr_ibf_acf is integer range  3 downto 0;
83
 
84
  signal SADR_ADDR : slv6 := (others=>'0'); -- address (from mmu or ibus)
85
 
86
  signal SAR_HIGH_WE : slbit := '0';    -- write enables
87
  signal SAR_LOW_WE : slbit := '0';     -- ...
88
  signal SDR_SLF_WE : slbit := '0';     -- ...
89
  signal SDR_AIB_WE : slbit := '0';     -- ...
90
  signal SDR_LOW_WE : slbit := '0';     -- ...
91
 
92
  signal IBSEL_DR : slbit := '0';
93
  signal IBSEL_AR : slbit := '0';
94
 
95
  signal SAF : slv16 := (others=>'0');  -- current SAF
96
  signal SLF : slv7 := (others=>'0');   -- current SLF
97
  signal AIB : slv2 := "00";            -- current AIB flags
98
  signal NEXT_AIB : slv2 := "00";       -- next AIB flags
99
  signal ED_ACF : slv4 := "0000";       -- current ED & ACF
100
 
101
begin
102
 
103
  SAR_HIGH : ram_1swar_gen
104
    generic map (
105
      AWIDTH => 6,
106
      DWIDTH => 8)
107
    port map (
108
      CLK  => CLK,
109
      WE   => SAR_HIGH_WE,
110
      ADDR => SADR_ADDR,
111
      DI   => IB_MREQ.din(ibf_byte1),
112
      DO   => SAF(ibf_byte1));
113
 
114
  SAR_LOW : ram_1swar_gen
115
    generic map (
116
      AWIDTH => 6,
117
      DWIDTH => 8)
118
    port map (
119
      CLK  => CLK,
120
      WE   => SAR_LOW_WE,
121
      ADDR => SADR_ADDR,
122
      DI   => IB_MREQ.din(ibf_byte0),
123
      DO   => SAF(ibf_byte0));
124
 
125
  SDR_SLF : ram_1swar_gen
126
    generic map (
127
      AWIDTH => 6,
128
      DWIDTH => 7)
129
    port map (
130
      CLK  => CLK,
131
      WE   => SDR_SLF_WE,
132
      ADDR => SADR_ADDR,
133
      DI   => IB_MREQ.din(sdr_ibf_slf),
134
      DO   => SLF);
135
 
136
  SDR_AIB : ram_1swar_gen
137
    generic map (
138
      AWIDTH => 6,
139
      DWIDTH => 2)
140
    port map (
141
      CLK  => CLK,
142
      WE   => SDR_AIB_WE,
143
      ADDR => SADR_ADDR,
144
      DI   => NEXT_AIB,
145
      DO   => AIB);
146
 
147
  SDR_LOW : ram_1swar_gen
148
    generic map (
149
      AWIDTH => 6,
150
      DWIDTH => 4)
151
    port map (
152
      CLK  => CLK,
153
      WE   => SDR_LOW_WE,
154
      ADDR => SADR_ADDR,
155
      DI   => IB_MREQ.din(sdr_ibf_acf),
156
      DO   => ED_ACF);
157
 
158
  -- determibe IBSEL's and the address for accessing the SADR's
159
 
160
  proc_ibsel: process (IB_MREQ, MODE, ASN)
161
    variable iaddr : slv6 := (others=>'0');
162
    variable idr : slbit := '0';
163
    variable iar : slbit := '0';
164
  begin
165
 
166
    iaddr := MODE & ASN;
167
    idr := '0';
168
    iar := '0';
169
 
170
    if IB_MREQ.req = '1' then
171
      iaddr(5)          := IB_MREQ.addr(8);
172
      iaddr(4)          := not IB_MREQ.addr(6);
173
      iaddr(3 downto 0) := IB_MREQ.addr(4 downto 1);
174
      if IB_MREQ.addr(12 downto 6)=ibaddr_kmdar(12 downto 6) or
175
         IB_MREQ.addr(12 downto 6)=ibaddr_smdar(12 downto 6) or
176
         IB_MREQ.addr(12 downto 6)=ibaddr_umdar(12 downto 6) then
177
        if IB_MREQ.addr(5) = '0' then
178
          idr := '1';
179
        else
180
          iar := '1';
181
        end if;
182
      end if;
183
    end if;
184
 
185
    SADR_ADDR    <= iaddr;
186
--  SADR_ADDR    <= iaddr(3) & iaddr(5 downto 4) & iaddr(2 downto 0);
187
    IBSEL_DR     <= idr;
188
    IBSEL_AR     <= iar;
189
    IB_SRES.ack  <= idr or iar;
190
    IB_SRES.busy <= '0';
191
 
192
  end process proc_ibsel;
193
 
194
  proc_ubdout : process (IBSEL_DR, IBSEL_AR, SAF, SLF, AIB, ED_ACF)
195
    variable sarout : slv16 := (others=>'0');  -- IB sar out
196
    variable sdrout : slv16 := (others=>'0');  -- IB sdr out
197
  begin
198
 
199
    sarout := (others=>'0');
200
    if IBSEL_AR = '1' then
201
      sarout := SAF;
202
    end if;
203
 
204
    sdrout := (others=>'0');
205
    if IBSEL_DR = '1' then
206
      sdrout(sdr_ibf_slf) := SLF;
207
      sdrout(sdr_ibf_aib) := AIB;
208
      sdrout(sdr_ibf_acf) := ED_ACF;
209
    end if;
210
 
211
    IB_SRES.dout <= sarout or sdrout;
212
 
213
  end process proc_ubdout;
214
 
215
  proc_comb : process (IBSEL_AR, IBSEL_DR, IB_MREQ, AIB_WE, AIB_SETA, AIB_SETW,
216
                       SAF, SLF, AIB, ED_ACF)
217
  begin
218
 
219
    NEXT_AIB <= "00";
220
    SAR_HIGH_WE <= '0';
221
    SAR_LOW_WE <= '0';
222
    SDR_SLF_WE <= '0';
223
    SDR_AIB_WE <= '0';
224
    SDR_LOW_WE <= '0';
225
 
226
    if IB_MREQ.we = '1' then
227
      if IBSEL_AR = '1' then
228
        if IB_MREQ.be1 = '1' then
229
          SAR_HIGH_WE <= '1';
230
        end if;
231
        if IB_MREQ.be0 = '1' then
232
          SAR_LOW_WE <= '1';
233
        end if;
234
      end if;
235
 
236
      if IBSEL_DR = '1' then
237
        if IB_MREQ.be1 = '1' then
238
          SDR_SLF_WE <= '1';
239
        end if;
240
        if IB_MREQ.be0 = '1' then
241
          SDR_LOW_WE <= '1';
242
        end if;
243
      end if;
244
 
245
      if (IBSEL_AR or IBSEL_DR)='1' then
246
        NEXT_AIB <= "00";
247
        SDR_AIB_WE <= '1';
248
      end if;
249
    end if;
250
 
251
    if AIB_WE = '1' then
252
      NEXT_AIB(0) <= AIB(0) or AIB_SETW;
253
      NEXT_AIB(1) <= AIB(1) or AIB_SETA;
254
      SDR_AIB_WE  <= '1';
255
    end if;
256
 
257
    SARSDR.saf <= SAF;
258
    SARSDR.slf <= SLF;
259
    SARSDR.ed  <= ED_ACF(3);
260
    SARSDR.acf <= ED_ACF(2 downto 0);
261
 
262
  end process proc_comb;
263
 
264
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.