OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [w11a/] [pdp11_tmu.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 wfjm
-- $Id: pdp11_tmu.vhd 444 2011-12-25 10:04:58Z mueller $
2 2 wfjm
--
3 13 wfjm
-- Copyright 2008-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_tmu - sim
16
-- Description:    pdp11: trace and monitor unit
17
--
18
-- Dependencies:   -
19
--
20
-- Test bench:     tb/tb_pdp11_core (implicit)
21
-- Target Devices: generic
22 13 wfjm
-- Tool versions:  ghdl 0.18-0.29
23 8 wfjm
--
24 2 wfjm
-- Revision History: 
25
-- Date         Rev Version  Comment
26 17 wfjm
-- 2011-12-23   444   1.1    use local clkcycle count instead of simbus global
27 13 wfjm
-- 2011-11-18   427   1.0.7  now numeric_std clean
28 8 wfjm
-- 2010-10-17   333   1.0.6  use ibus V2 interface
29 2 wfjm
-- 2010-06-26   309   1.0.5  add ibmreq.dip,.cacc,.racc to trace
30
-- 2009-05-10   214   1.0.4  add ENA signal (trace enable)
31
-- 2008-12-14   177   1.0.3  write gpr_* of DM_STAT_DP and dp_ireg_we_last
32
-- 2008-12-13   176   1.0.2  write only cycle currently used by tmu_conf
33
-- 2008-08-22   161   1.0.1  rename ubf_ -> ibf_
34
-- 2008-04-19   137   1.0    Initial version 
35
------------------------------------------------------------------------------
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39 13 wfjm
use ieee.numeric_std.all;
40 2 wfjm
use ieee.std_logic_textio.all;
41
use std.textio.all;
42
 
43
use work.slvtypes.all;
44
use work.simlib.all;
45
use work.simbus.all;
46
use work.pdp11.all;
47
 
48
-- ----------------------------------------------------------------------------
49
 
50
entity pdp11_tmu is                     -- trace and monitor unit
51
  port (
52
    CLK : in slbit;                     -- clock
53
    ENA : in slbit := '0';              -- enable trace output
54
    DM_STAT_DP : in dm_stat_dp_type;    -- DM dpath
55
    DM_STAT_VM : in dm_stat_vm_type;    -- DM vmbox
56
    DM_STAT_CO : in dm_stat_co_type;    -- DM core
57
    DM_STAT_SY : in dm_stat_sy_type     -- DM system
58
  );
59
end pdp11_tmu;
60
 
61
 
62
architecture sim of pdp11_tmu is
63
 
64
  signal R_FIRST : slbit := '1';
65
 
66
begin
67
 
68
  proc_tm: process (CLK)
69
    variable oline  : line;
70 17 wfjm
    variable clkcycle : integer := 0;
71 2 wfjm
    variable ipsw   : slv16 := (others=>'0');
72
    variable ibaddr : slv16 := (others=>'0');
73
    variable emaddr : slv22 := (others=>'0');
74
    variable dp_ireg_we_last : slbit := '0';
75
    variable vm_ibsres_busy_last : slbit := '0';
76
    variable vm_ibsres_ack_last  : slbit := '0';
77
    variable wcycle : boolean := false;
78
    file ofile : text open write_mode is "tmu_ofile";
79
  begin
80
 
81 13 wfjm
    if rising_edge(CLK) then
82 2 wfjm
 
83 17 wfjm
      clkcycle := clkcycle + 1;
84
 
85 2 wfjm
      if R_FIRST = '1' then
86
        R_FIRST <= '0';
87
        write(oline, string'("#"));
88
        write(oline, string'(" clkcycle:d"));
89
        write(oline, string'(" cpu:o"));
90
        write(oline, string'(" dp.pc:o"));
91
        write(oline, string'(" dp.psw:o"));
92
        write(oline, string'(" dp.ireg:o"));
93
        write(oline, string'(" dp.ireg_we:b"));
94
        write(oline, string'(" dp.ireg_we_last:b"));  -- is ireg_we last cycle
95
        write(oline, string'(" dp.dsrc:o"));
96
        write(oline, string'(" dp.ddst:o"));
97
        write(oline, string'(" dp.dtmp:o"));
98
        write(oline, string'(" dp.dres:o"));
99
        write(oline, string'(" dp.gpr_adst:o"));
100
        write(oline, string'(" dp.gpr_mode:o"));
101
        write(oline, string'(" dp.gpr_bytop:b"));
102
        write(oline, string'(" dp.gpr_we:b"));
103
 
104 8 wfjm
        write(oline, string'(" vm.ibmreq.aval:b"));
105
        write(oline, string'(" vm.ibmreq.re:b"));
106 2 wfjm
        write(oline, string'(" vm.ibmreq.we:b"));
107 8 wfjm
        write(oline, string'(" vm.ibmreq.rmw:b"));
108 2 wfjm
        write(oline, string'(" vm.ibmreq.be0:b"));
109
        write(oline, string'(" vm.ibmreq.be1:b"));
110
        write(oline, string'(" vm.ibmreq.cacc:b"));
111
        write(oline, string'(" vm.ibmreq.racc:b"));
112
        write(oline, string'(" vm.ibmreq.addr:o"));
113
        write(oline, string'(" vm.ibmreq.din:o"));
114
        write(oline, string'(" vm.ibsres.ack:b"));
115
        write(oline, string'(" vm.ibsres.busy:b"));
116
        write(oline, string'(" vm.ibsres.dout:o"));
117
 
118
        write(oline, string'(" co.cpugo:b"));
119
        write(oline, string'(" co.cpuhalt:b"));
120
 
121
        write(oline, string'(" sy.emmreq.req:b"));
122
        write(oline, string'(" sy.emmreq.we:b"));
123
        write(oline, string'(" sy.emmreq.be:b"));
124
        write(oline, string'(" sy.emmreq.cancel:b"));
125
        write(oline, string'(" sy.emmreq.addr:o"));
126
        write(oline, string'(" sy.emmreq.din:o"));
127
        write(oline, string'(" sy.emsres.ack_r:b"));
128
        write(oline, string'(" sy.emsres.ack_w:b"));
129
        write(oline, string'(" sy.emsres.dout:o"));
130
        write(oline, string'(" sy.chit:b"));
131
 
132
        writeline(ofile, oline);
133
      end if;
134
 
135
      ipsw := (others=>'0');
136
      ipsw(psw_ibf_cmode) := DM_STAT_DP.psw.cmode;
137
      ipsw(psw_ibf_pmode) := DM_STAT_DP.psw.pmode;
138
      ipsw(psw_ibf_rset)  := DM_STAT_DP.psw.rset;
139
      ipsw(psw_ibf_pri)   := DM_STAT_DP.psw.pri;
140
      ipsw(psw_ibf_tflag) := DM_STAT_DP.psw.tflag;
141
      ipsw(psw_ibf_cc)    := DM_STAT_DP.psw.cc;
142
 
143
      ibaddr := "1110000000000000";
144
      ibaddr(DM_STAT_VM.ibmreq.addr'range) := DM_STAT_VM.ibmreq.addr;
145
 
146
      emaddr := (others=>'0');
147
      emaddr(DM_STAT_SY.emmreq.addr'range) := DM_STAT_SY.emmreq.addr;
148
 
149
      wcycle := false;
150
      if dp_ireg_we_last='1' or
151
         DM_STAT_DP.gpr_we='1' or
152
         DM_STAT_SY.emmreq.req='1' or
153
         DM_STAT_SY.emsres.ack_r='1' or
154
         DM_STAT_SY.emsres.ack_w='1' or
155
         DM_STAT_SY.emmreq.cancel='1' or
156 8 wfjm
         DM_STAT_VM.ibmreq.re='1' or
157
         DM_STAT_VM.ibmreq.we='1' or
158 2 wfjm
         DM_STAT_VM.ibsres.ack='1'
159
      then
160
        wcycle := true;
161
      end if;
162
 
163
      if DM_STAT_VM.ibsres.busy='0' and
164
         (vm_ibsres_busy_last='1' and vm_ibsres_ack_last='0')
165
      then
166
        wcycle := true;
167
      end if;
168
 
169
      if ENA = '0' then                 -- if not enabled
170
        wcycle := false;                -- force to not logged...
171
      end if;
172
 
173
      if wcycle then
174 17 wfjm
        write(oline, clkcycle, right, 9);
175 2 wfjm
        write(oline, string'(" 0"));
176
        writeoct(oline, DM_STAT_DP.pc,   right, 7);
177
        writeoct(oline, ipsw, right, 7);
178
        writeoct(oline, DM_STAT_DP.ireg, right, 7);
179
        write(oline,    DM_STAT_DP.ireg_we, right, 2);
180
        write(oline,    dp_ireg_we_last, right, 2);
181
        writeoct(oline, DM_STAT_DP.dsrc, right, 7);
182
        writeoct(oline, DM_STAT_DP.ddst, right, 7);
183
        writeoct(oline, DM_STAT_DP.dtmp, right, 7);
184
        writeoct(oline, DM_STAT_DP.dres, right, 7);
185
        writeoct(oline, DM_STAT_DP.gpr_adst, right, 2);
186
        writeoct(oline, DM_STAT_DP.gpr_mode, right, 2);
187
        write(oline, DM_STAT_DP.gpr_bytop, right, 2);
188
        write(oline, DM_STAT_DP.gpr_we, right, 2);
189
 
190 8 wfjm
        write(oline,    DM_STAT_VM.ibmreq.aval, right, 2);
191
        write(oline,    DM_STAT_VM.ibmreq.re, right, 2);
192 2 wfjm
        write(oline,    DM_STAT_VM.ibmreq.we, right, 2);
193 8 wfjm
        write(oline,    DM_STAT_VM.ibmreq.rmw, right, 2);
194 2 wfjm
        write(oline,    DM_STAT_VM.ibmreq.be0, right, 2);
195
        write(oline,    DM_STAT_VM.ibmreq.be1, right, 2);
196
        write(oline,    DM_STAT_VM.ibmreq.cacc, right, 2);
197
        write(oline,    DM_STAT_VM.ibmreq.racc, right, 2);
198
        writeoct(oline, ibaddr, right, 7);
199
        writeoct(oline, DM_STAT_VM.ibmreq.din, right, 7);
200
        write(oline,    DM_STAT_VM.ibsres.ack, right, 2);
201
        write(oline,    DM_STAT_VM.ibsres.busy, right, 2);
202
        writeoct(oline, DM_STAT_VM.ibsres.dout, right, 7);
203
 
204
        write(oline,    DM_STAT_CO.cpugo, right, 2);
205
        write(oline,    DM_STAT_CO.cpuhalt, right, 2);
206
 
207
        write(oline,    DM_STAT_SY.emmreq.req, right, 2);
208
        write(oline,    DM_STAT_SY.emmreq.we, right, 2);
209
        write(oline,    DM_STAT_SY.emmreq.be, right, 3);
210
        write(oline,    DM_STAT_SY.emmreq.cancel, right, 2);
211
        writeoct(oline, emaddr, right, 9);
212
        writeoct(oline, DM_STAT_SY.emmreq.din, right, 7);
213
        write(oline,    DM_STAT_SY.emsres.ack_r, right, 2);
214
        write(oline,    DM_STAT_SY.emsres.ack_w, right, 2);
215
        writeoct(oline, DM_STAT_SY.emsres.dout, right, 7);
216
        write(oline,    DM_STAT_SY.chit, right, 2);
217
 
218
        writeline(ofile, oline);
219
      end if;
220
 
221
      dp_ireg_we_last     := DM_STAT_DP.ireg_we;
222
      vm_ibsres_busy_last := DM_STAT_VM.ibsres.busy;
223
      vm_ibsres_ack_last  := DM_STAT_VM.ibsres.ack;
224
 
225
    end if;
226
 
227
  end process proc_tm;
228
 
229
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.