OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [w11a/] [pdp11_tmu_sb.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: pdp11_tmu_sb.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2009- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_tmu - sim
16
-- Description:    pdp11: trace and monitor unit; simbus wrapper
17
--
18
-- Dependencies:   simbus
19
-- Test bench:     -
20
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
21
-- Revision History: 
22
-- Date         Rev Version  Comment
23
-- 2009-05-10   214   1.0    Initial version 
24
------------------------------------------------------------------------------
25
 
26
library ieee;
27
use ieee.std_logic_1164.all;
28
 
29
use work.slvtypes.all;
30
use work.simlib.all;
31
use work.simbus.all;
32
use work.pdp11.all;
33
 
34
entity pdp11_tmu_sb is                  -- trace and mon. unit; simbus wrapper
35
  generic (
36
    ENAPIN : integer := 13);            -- SB_CNTL signal to use for enable
37
  port (
38
    CLK : in slbit;                     -- clock
39
    DM_STAT_DP : in dm_stat_dp_type;    -- DM dpath
40
    DM_STAT_VM : in dm_stat_vm_type;    -- DM vmbox
41
    DM_STAT_CO : in dm_stat_co_type;    -- DM core
42
    DM_STAT_SY : in dm_stat_sy_type     -- DM system
43
  );
44
end pdp11_tmu_sb;
45
 
46
 
47
architecture sim of pdp11_tmu_sb is
48
 
49
  signal ENA : slbit := '0';
50
 
51
begin
52
 
53
  assert ENAPIN>=SB_CNTL'low and ENAPIN<=SB_CNTL'high
54
    report "assert(ENAPIN in SB_CNTL'range)" severity failure;
55
 
56
  ENA <= to_x01(SB_CNTL(ENAPIN));
57
 
58
  CPMON : pdp11_tmu
59
    port map (
60
      CLK        => CLK,
61
      ENA        => ENA,
62
      DM_STAT_DP => DM_STAT_DP,
63
      DM_STAT_VM => DM_STAT_VM,
64
      DM_STAT_CO => DM_STAT_CO,
65
      DM_STAT_SY => DM_STAT_SY
66
    );
67
 
68
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.