OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [w11a/] [tb/] [tbd_pdp11core.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 wfjm
-- $Id: tbd_pdp11core.vhd 427 2011-11-19 21:04:11Z mueller $
2 2 wfjm
--
3 13 wfjm
-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15 9 wfjm
-- Module Name:    tbd_pdp11core - syn
16 2 wfjm
-- Description:    Wrapper for pdp11_core to avoid records. It has a port
17
--                 interface which will not be modified by xst synthesis
18
--                 (no records, no generic port).
19
--
20
-- Dependencies:   genlib/clkdivce
21
--                 pdp11_core
22
--                 pdp11_bram
23
--                 ibus/ibdr_minisys
24
--                 pdp11_tmu_sb           [sim only]
25
--
26
-- To test:        pdp11_core
27
--
28
-- Target Devices: generic
29 13 wfjm
-- Tool versions:  xst 8.2, 9.1, 9.2, 13.1; ghdl 0.18-0.29
30 2 wfjm
--
31
-- Synthesized (xst):
32
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
33
-- 2010-06-13   305  11.4   L68  xc3s1000-4   601 2504  206 1428 s 18.6
34
-- 2008-03-01   120  8.2.03 I34  xc3s1000-4   679 2562  206 1465 s 18.5
35
-- 2008-01-06   111  8.2.03 I34  xc3s1000-4   605 2324  164 1297 s 18.7
36
-- 2007-12-30   107  8.2.03 I34  xc3s1000-4   536 2119  119 1184 s 19.3
37
-- 2007-10-27    92  9.2.02 J39  xc3s1000-4  INTERNAL_ERROR -> blog_webpack
38
-- 2007-10-27    92  9.1    J30  xc3s1000-4   503 2021  119    - t 18.7
39
-- 2007-10-27    92  8.2.03 I34  xc3s1000-4   534 2091  119 1170 s 19.3
40
-- 2007-10-27    92  8.1.03 I27  xc3s1000-4   557 2186  119    - s 18.6 
41
--
42
-- Revision History: 
43
-- Date         Rev Version  Comment
44 13 wfjm
-- 2011-11-18   427   1.5.1  now numeric_std clean
45 9 wfjm
-- 2010-12-30   351   1.5    rename tbd_pdp11_core -> tbd_pdp11core
46 8 wfjm
-- 2010-10-23   335   1.4.2  rename RRI_LAM->RB_LAM;
47 2 wfjm
-- 2010-06-20   307   1.4.1  add CP_ADDR_racc, CP_ADDR_be port
48
-- 2010-06-13   305   1.4    add CP_ADDR_... in ports; add CP_CNTL_rnum in port
49
-- 2010-06-11   303   1.3.9  use IB_MREQ.racc instead of RRI_REQ
50
-- 2009-07-12   233   1.3.8  adapt to ibdr_minisys interface changes
51
-- 2009-05-10   214   1.3.7  use pdp11_tmu_sb instead of pdp11_tmu
52
-- 2008-08-22   161   1.3.6  use iblib, ibdlib
53
-- 2008-05-03   143   1.3.5  rename _cpursta->_cpurust
54
-- 2008-04-27   140   1.3.4  use cpursta interface, remove cpufail
55
-- 2008-04-19   137   1.3.3  add DM_STAT_(DP|VM|CO|SY) signals, add pdp11_tmu
56
-- 2008-04-18   136   1.3.2  add RESET for ibdr_minisys
57
-- 2008-02-23   118   1.3.1  use sys_conf for bram size
58
-- 2008-02-17   117   1.3    adapt to em_ core interface; use pdp11_bram
59
-- 2008-01-20   112   1.2.1  rename clkgen->clkdivce; use ibdr_minisys, BRESET;
60
-- 2008-01-06   111   1.2    add some external devices: KW11L, DL11, RK11
61
-- 2007-12-30   107   1.1    use IB_MREQ/IB_SRES interface now; remove DMA port
62
-- 2007-09-23    85   1.0    Initial version 
63
------------------------------------------------------------------------------
64
 
65
library ieee;
66
use ieee.std_logic_1164.all;
67 13 wfjm
use ieee.numeric_std.all;
68 2 wfjm
 
69
use work.slvtypes.all;
70
use work.genlib.all;
71
use work.iblib.all;
72
use work.ibdlib.all;
73
use work.pdp11.all;
74
use work.sys_conf.all;
75
 
76 9 wfjm
entity tbd_pdp11core is               -- full core [no records]
77 2 wfjm
  port (
78
    CLK : in slbit;                   -- clock
79
    RESET : in slbit;                 -- reset
80
    CP_CNTL_req : in slbit;           -- console control port
81
    CP_CNTL_func : in slv5;           -- console control port
82
    CP_CNTL_rnum : in slv3;           -- console control port
83
    CP_ADDR_addr : in slv22_1;        -- console address port
84
    CP_ADDR_racc : in slbit;          -- console address port
85
    CP_ADDR_be   : in slv2;           -- console address port
86
    CP_ADDR_ena_22bit : in slbit;     -- console address port
87
    CP_ADDR_ena_ubmap : in slbit;     -- console address port
88
    CP_DIN : in slv16;                -- console data in
89
    CP_STAT_cmdbusy : out slbit;      -- console status port
90
    CP_STAT_cmdack : out slbit;       -- console status port
91
    CP_STAT_cmderr : out slbit;       -- console status port
92
    CP_STAT_cmdmerr : out slbit;      -- console status port
93
    CP_STAT_cpugo : out slbit;        -- console status port
94
    CP_STAT_cpuhalt : out slbit;      -- console status port
95
    CP_STAT_cpustep : out slbit;      -- console status port
96
    CP_STAT_cpurust : out slv4;       -- console status port
97
    CP_DOUT : out slv16               -- console data out
98
  );
99 9 wfjm
end tbd_pdp11core;
100 2 wfjm
 
101
 
102 9 wfjm
architecture syn of tbd_pdp11core is
103 2 wfjm
 
104
  signal CE_USEC : slbit := '0';
105
 
106
  signal EI_PRI  : slv3 := (others=>'0');
107
  signal EI_VECT : slv9_2 := (others=>'0');
108
  signal EI_ACKM : slbit := '0';
109
 
110
  signal CP_CNTL : cp_cntl_type := cp_cntl_init;
111
  signal CP_ADDR : cp_addr_type := cp_addr_init;
112
  signal CP_STAT : cp_stat_type := cp_stat_init;
113
 
114
  signal EM_MREQ : em_mreq_type := em_mreq_init;
115
  signal EM_SRES : em_sres_type := em_sres_init;
116
 
117
  signal BRESET  : slbit := '0';
118
  signal IB_MREQ_M : ib_mreq_type := ib_mreq_init;
119
  signal IB_SRES_M : ib_sres_type := ib_sres_init;
120
 
121
  signal DM_STAT_DP : dm_stat_dp_type := dm_stat_dp_init;
122
  signal DM_STAT_VM : dm_stat_vm_type := dm_stat_vm_init;
123
  signal DM_STAT_CO : dm_stat_co_type := dm_stat_co_init;
124
  signal DM_STAT_SY : dm_stat_sy_type := dm_stat_sy_init;
125
 
126
begin
127
 
128
  CP_CNTL.req  <= CP_CNTL_req;
129
  CP_CNTL.func <= CP_CNTL_func;
130
  CP_CNTL.rnum <= CP_CNTL_rnum;
131
 
132
  CP_ADDR.addr      <= CP_ADDR_addr;
133
  CP_ADDR.racc      <= CP_ADDR_racc;
134
  CP_ADDR.be        <= CP_ADDR_be;
135
  CP_ADDR.ena_22bit <= CP_ADDR_ena_22bit;
136
  CP_ADDR.ena_ubmap <= CP_ADDR_ena_ubmap;
137
 
138
  CP_STAT_cmdbusy <= CP_STAT.cmdbusy;
139
  CP_STAT_cmdack  <= CP_STAT.cmdack;
140
  CP_STAT_cmderr  <= CP_STAT.cmderr;
141
  CP_STAT_cmdmerr <= CP_STAT.cmdmerr;
142
  CP_STAT_cpugo   <= CP_STAT.cpugo;
143
  CP_STAT_cpuhalt <= CP_STAT.cpuhalt;
144
  CP_STAT_cpustep <= CP_STAT.cpustep;
145
  CP_STAT_cpurust <= CP_STAT.cpurust;
146
 
147
  CLKDIV : clkdivce
148
    generic map (
149
      CDUWIDTH => 6,
150
      USECDIV => 50,
151
      MSECDIV => 1000)
152
    port map (
153
      CLK     => CLK,
154
      CE_USEC => CE_USEC,
155
      CE_MSEC => open
156
    );
157
 
158
  PDP11 : pdp11_core
159
    port map (
160
      CLK     => CLK,
161
      RESET   => RESET,
162
      CP_CNTL => CP_CNTL,
163
      CP_ADDR => CP_ADDR,
164
      CP_DIN  => CP_DIN,
165
      CP_STAT => CP_STAT,
166
      CP_DOUT => CP_DOUT,
167
      EI_PRI  => EI_PRI,
168
      EI_VECT => EI_VECT,
169
      EI_ACKM => EI_ACKM,
170
      EM_MREQ => EM_MREQ,
171
      EM_SRES => EM_SRES,
172
      BRESET  => BRESET,
173
      IB_MREQ_M  => IB_MREQ_M,
174
      IB_SRES_M  => IB_SRES_M,
175
      DM_STAT_DP => DM_STAT_DP,
176
      DM_STAT_VM => DM_STAT_VM,
177
      DM_STAT_CO => DM_STAT_CO
178
    );
179
 
180
  MEM : pdp11_bram
181
    generic map (
182
      AWIDTH => sys_conf_bram_awidth)
183
    port map (
184
      CLK     => CLK,
185
      GRESET  => RESET,
186
      EM_MREQ => EM_MREQ,
187
      EM_SRES => EM_SRES
188
    );
189
 
190
  IBDR_SYS : ibdr_minisys
191
    port map (
192
      CLK      => CLK,
193
      CE_USEC  => CE_USEC,
194
      CE_MSEC  => CE_USEC,              -- !! in test benches msec = usec !!
195
      RESET    => RESET,
196
      BRESET   => BRESET,
197 8 wfjm
      RB_LAM   => open,
198 2 wfjm
      IB_MREQ  => IB_MREQ_M,
199
      IB_SRES  => IB_SRES_M,
200
      EI_ACKM  => EI_ACKM,
201
      EI_PRI   => EI_PRI,
202
      EI_VECT  => EI_VECT,
203
      DISPREG  => open
204
    );
205
 
206
-- synthesis translate_off
207
 
208
  DM_STAT_SY.emmreq <= EM_MREQ;
209
  DM_STAT_SY.emsres <= EM_SRES;
210
  DM_STAT_SY.chit   <= '0';
211
 
212
  TMU : pdp11_tmu_sb
213
    generic map (
214
      ENAPIN => 13)
215
     port map (
216
      CLK        => CLK,
217
      DM_STAT_DP => DM_STAT_DP,
218
      DM_STAT_VM => DM_STAT_VM,
219
      DM_STAT_CO => DM_STAT_CO,
220
      DM_STAT_SY => DM_STAT_SY
221
    );
222
 
223
-- synthesis translate_on
224
 
225
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.