OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [w11a/] [tb/] [tbd_pdp11core.vhd] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 wfjm
-- $Id: tbd_pdp11_core.vhd 335 2010-10-24 22:24:23Z mueller $
2 2 wfjm
--
3
-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    tbd_pdp11_core - syn
16
-- Description:    Wrapper for pdp11_core to avoid records. It has a port
17
--                 interface which will not be modified by xst synthesis
18
--                 (no records, no generic port).
19
--
20
-- Dependencies:   genlib/clkdivce
21
--                 pdp11_core
22
--                 pdp11_bram
23
--                 ibus/ibdr_minisys
24
--                 pdp11_tmu_sb           [sim only]
25
--
26
-- To test:        pdp11_core
27
--
28
-- Target Devices: generic
29
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
30
--
31
-- Synthesized (xst):
32
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
33
-- 2010-06-13   305  11.4   L68  xc3s1000-4   601 2504  206 1428 s 18.6
34
-- 2008-03-01   120  8.2.03 I34  xc3s1000-4   679 2562  206 1465 s 18.5
35
-- 2008-01-06   111  8.2.03 I34  xc3s1000-4   605 2324  164 1297 s 18.7
36
-- 2007-12-30   107  8.2.03 I34  xc3s1000-4   536 2119  119 1184 s 19.3
37
-- 2007-10-27    92  9.2.02 J39  xc3s1000-4  INTERNAL_ERROR -> blog_webpack
38
-- 2007-10-27    92  9.1    J30  xc3s1000-4   503 2021  119    - t 18.7
39
-- 2007-10-27    92  8.2.03 I34  xc3s1000-4   534 2091  119 1170 s 19.3
40
-- 2007-10-27    92  8.1.03 I27  xc3s1000-4   557 2186  119    - s 18.6 
41
--
42
-- Revision History: 
43
-- Date         Rev Version  Comment
44 8 wfjm
-- 2010-10-23   335   1.4.2  rename RRI_LAM->RB_LAM;
45 2 wfjm
-- 2010-06-20   307   1.4.1  add CP_ADDR_racc, CP_ADDR_be port
46
-- 2010-06-13   305   1.4    add CP_ADDR_... in ports; add CP_CNTL_rnum in port
47
-- 2010-06-11   303   1.3.9  use IB_MREQ.racc instead of RRI_REQ
48
-- 2009-07-12   233   1.3.8  adapt to ibdr_minisys interface changes
49
-- 2009-05-10   214   1.3.7  use pdp11_tmu_sb instead of pdp11_tmu
50
-- 2008-08-22   161   1.3.6  use iblib, ibdlib
51
-- 2008-05-03   143   1.3.5  rename _cpursta->_cpurust
52
-- 2008-04-27   140   1.3.4  use cpursta interface, remove cpufail
53
-- 2008-04-19   137   1.3.3  add DM_STAT_(DP|VM|CO|SY) signals, add pdp11_tmu
54
-- 2008-04-18   136   1.3.2  add RESET for ibdr_minisys
55
-- 2008-02-23   118   1.3.1  use sys_conf for bram size
56
-- 2008-02-17   117   1.3    adapt to em_ core interface; use pdp11_bram
57
-- 2008-01-20   112   1.2.1  rename clkgen->clkdivce; use ibdr_minisys, BRESET;
58
-- 2008-01-06   111   1.2    add some external devices: KW11L, DL11, RK11
59
-- 2007-12-30   107   1.1    use IB_MREQ/IB_SRES interface now; remove DMA port
60
-- 2007-09-23    85   1.0    Initial version 
61
------------------------------------------------------------------------------
62
 
63
library ieee;
64
use ieee.std_logic_1164.all;
65
use ieee.std_logic_arith.all;
66
 
67
use work.slvtypes.all;
68
use work.genlib.all;
69
use work.iblib.all;
70
use work.ibdlib.all;
71
use work.pdp11.all;
72
use work.sys_conf.all;
73
 
74
entity tbd_pdp11_core is              -- full core [no records]
75
  port (
76
    CLK : in slbit;                   -- clock
77
    RESET : in slbit;                 -- reset
78
    CP_CNTL_req : in slbit;           -- console control port
79
    CP_CNTL_func : in slv5;           -- console control port
80
    CP_CNTL_rnum : in slv3;           -- console control port
81
    CP_ADDR_addr : in slv22_1;        -- console address port
82
    CP_ADDR_racc : in slbit;          -- console address port
83
    CP_ADDR_be   : in slv2;           -- console address port
84
    CP_ADDR_ena_22bit : in slbit;     -- console address port
85
    CP_ADDR_ena_ubmap : in slbit;     -- console address port
86
    CP_DIN : in slv16;                -- console data in
87
    CP_STAT_cmdbusy : out slbit;      -- console status port
88
    CP_STAT_cmdack : out slbit;       -- console status port
89
    CP_STAT_cmderr : out slbit;       -- console status port
90
    CP_STAT_cmdmerr : out slbit;      -- console status port
91
    CP_STAT_cpugo : out slbit;        -- console status port
92
    CP_STAT_cpuhalt : out slbit;      -- console status port
93
    CP_STAT_cpustep : out slbit;      -- console status port
94
    CP_STAT_cpurust : out slv4;       -- console status port
95
    CP_DOUT : out slv16               -- console data out
96
  );
97
end tbd_pdp11_core;
98
 
99
 
100
architecture syn of tbd_pdp11_core is
101
 
102
  signal CE_USEC : slbit := '0';
103
 
104
  signal EI_PRI  : slv3 := (others=>'0');
105
  signal EI_VECT : slv9_2 := (others=>'0');
106
  signal EI_ACKM : slbit := '0';
107
 
108
  signal CP_CNTL : cp_cntl_type := cp_cntl_init;
109
  signal CP_ADDR : cp_addr_type := cp_addr_init;
110
  signal CP_STAT : cp_stat_type := cp_stat_init;
111
 
112
  signal EM_MREQ : em_mreq_type := em_mreq_init;
113
  signal EM_SRES : em_sres_type := em_sres_init;
114
 
115
  signal BRESET  : slbit := '0';
116
  signal IB_MREQ_M : ib_mreq_type := ib_mreq_init;
117
  signal IB_SRES_M : ib_sres_type := ib_sres_init;
118
 
119
  signal DM_STAT_DP : dm_stat_dp_type := dm_stat_dp_init;
120
  signal DM_STAT_VM : dm_stat_vm_type := dm_stat_vm_init;
121
  signal DM_STAT_CO : dm_stat_co_type := dm_stat_co_init;
122
  signal DM_STAT_SY : dm_stat_sy_type := dm_stat_sy_init;
123
 
124
begin
125
 
126
  CP_CNTL.req  <= CP_CNTL_req;
127
  CP_CNTL.func <= CP_CNTL_func;
128
  CP_CNTL.rnum <= CP_CNTL_rnum;
129
 
130
  CP_ADDR.addr      <= CP_ADDR_addr;
131
  CP_ADDR.racc      <= CP_ADDR_racc;
132
  CP_ADDR.be        <= CP_ADDR_be;
133
  CP_ADDR.ena_22bit <= CP_ADDR_ena_22bit;
134
  CP_ADDR.ena_ubmap <= CP_ADDR_ena_ubmap;
135
 
136
  CP_STAT_cmdbusy <= CP_STAT.cmdbusy;
137
  CP_STAT_cmdack  <= CP_STAT.cmdack;
138
  CP_STAT_cmderr  <= CP_STAT.cmderr;
139
  CP_STAT_cmdmerr <= CP_STAT.cmdmerr;
140
  CP_STAT_cpugo   <= CP_STAT.cpugo;
141
  CP_STAT_cpuhalt <= CP_STAT.cpuhalt;
142
  CP_STAT_cpustep <= CP_STAT.cpustep;
143
  CP_STAT_cpurust <= CP_STAT.cpurust;
144
 
145
  CLKDIV : clkdivce
146
    generic map (
147
      CDUWIDTH => 6,
148
      USECDIV => 50,
149
      MSECDIV => 1000)
150
    port map (
151
      CLK     => CLK,
152
      CE_USEC => CE_USEC,
153
      CE_MSEC => open
154
    );
155
 
156
  PDP11 : pdp11_core
157
    port map (
158
      CLK     => CLK,
159
      RESET   => RESET,
160
      CP_CNTL => CP_CNTL,
161
      CP_ADDR => CP_ADDR,
162
      CP_DIN  => CP_DIN,
163
      CP_STAT => CP_STAT,
164
      CP_DOUT => CP_DOUT,
165
      EI_PRI  => EI_PRI,
166
      EI_VECT => EI_VECT,
167
      EI_ACKM => EI_ACKM,
168
      EM_MREQ => EM_MREQ,
169
      EM_SRES => EM_SRES,
170
      BRESET  => BRESET,
171
      IB_MREQ_M  => IB_MREQ_M,
172
      IB_SRES_M  => IB_SRES_M,
173
      DM_STAT_DP => DM_STAT_DP,
174
      DM_STAT_VM => DM_STAT_VM,
175
      DM_STAT_CO => DM_STAT_CO
176
    );
177
 
178
  MEM : pdp11_bram
179
    generic map (
180
      AWIDTH => sys_conf_bram_awidth)
181
    port map (
182
      CLK     => CLK,
183
      GRESET  => RESET,
184
      EM_MREQ => EM_MREQ,
185
      EM_SRES => EM_SRES
186
    );
187
 
188
  IBDR_SYS : ibdr_minisys
189
    port map (
190
      CLK      => CLK,
191
      CE_USEC  => CE_USEC,
192
      CE_MSEC  => CE_USEC,              -- !! in test benches msec = usec !!
193
      RESET    => RESET,
194
      BRESET   => BRESET,
195 8 wfjm
      RB_LAM   => open,
196 2 wfjm
      IB_MREQ  => IB_MREQ_M,
197
      IB_SRES  => IB_SRES_M,
198
      EI_ACKM  => EI_ACKM,
199
      EI_PRI   => EI_PRI,
200
      EI_VECT  => EI_VECT,
201
      DISPREG  => open
202
    );
203
 
204
-- synthesis translate_off
205
 
206
  DM_STAT_SY.emmreq <= EM_MREQ;
207
  DM_STAT_SY.emsres <= EM_SRES;
208
  DM_STAT_SY.chit   <= '0';
209
 
210
  TMU : pdp11_tmu_sb
211
    generic map (
212
      ENAPIN => 13)
213
     port map (
214
      CLK        => CLK,
215
      DM_STAT_DP => DM_STAT_DP,
216
      DM_STAT_VM => DM_STAT_VM,
217
      DM_STAT_CO => DM_STAT_CO,
218
      DM_STAT_SY => DM_STAT_SY
219
    );
220
 
221
-- synthesis translate_on
222
 
223
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.