1 |
18 |
wfjm |
-- $Id: bpgenlib.vhd 472 2013-01-06 14:39:10Z mueller $
|
2 |
12 |
wfjm |
--
|
3 |
18 |
wfjm |
-- Copyright 2011-2013 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
|
4 |
12 |
wfjm |
--
|
5 |
|
|
-- This program is free software; you may redistribute and/or modify it under
|
6 |
|
|
-- the terms of the GNU General Public License as published by the Free
|
7 |
|
|
-- Software Foundation, either version 2, or at your option any later version.
|
8 |
|
|
--
|
9 |
|
|
-- This program is distributed in the hope that it will be useful, but
|
10 |
|
|
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
|
11 |
|
|
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
|
12 |
|
|
-- for complete details.
|
13 |
|
|
--
|
14 |
|
|
------------------------------------------------------------------------------
|
15 |
|
|
-- Package Name: bpliblib
|
16 |
|
|
-- Description: Generic Board/Part components
|
17 |
|
|
--
|
18 |
|
|
-- Dependencies: -
|
19 |
18 |
wfjm |
-- Tool versions: 12.1, 13.3; ghdl 0.26-0.29
|
20 |
12 |
wfjm |
-- Revision History:
|
21 |
|
|
-- Date Rev Version Comment
|
22 |
18 |
wfjm |
-- 2013-01-06 472 1.0.7 add sn_humanio_demu_rbus
|
23 |
13 |
wfjm |
-- 2011-11-16 426 1.0.6 now numeric_std clean
|
24 |
|
|
-- 2011-10-10 413 1.0.5 add sn_humanio_demu
|
25 |
12 |
wfjm |
-- 2011-08-07 404 1.0.4 add RELAY generic for bp_rs232_2l4l_iob
|
26 |
|
|
-- 2011-08-06 403 1.0.3 add RESET port for bp_rs232_2l4l_iob
|
27 |
|
|
-- 2011-07-09 391 1.0.2 move in bp_rs232_2l4l_iob from s3boardlib
|
28 |
|
|
-- 2011-07-08 390 1.0.1 move in sn_(4x7segctl|humanio*) from s3boardlib
|
29 |
|
|
-- 2011-07-01 386 1.0 Initial version (with rs232_iob's and bp_swibtnled)
|
30 |
|
|
------------------------------------------------------------------------------
|
31 |
|
|
|
32 |
|
|
library ieee;
|
33 |
|
|
use ieee.std_logic_1164.all;
|
34 |
13 |
wfjm |
use ieee.numeric_std.all;
|
35 |
12 |
wfjm |
|
36 |
|
|
use work.slvtypes.all;
|
37 |
|
|
use work.rblib.all;
|
38 |
|
|
|
39 |
|
|
package bpgenlib is
|
40 |
|
|
|
41 |
|
|
component bp_rs232_2line_iob is -- iob's for 2 line rs232 (RXD,TXD)
|
42 |
|
|
port (
|
43 |
|
|
CLK : in slbit; -- clock
|
44 |
|
|
RXD : out slbit; -- receive data (board view)
|
45 |
|
|
TXD : in slbit; -- transmit data (board view)
|
46 |
|
|
I_RXD : in slbit; -- pad-i: receive data (board view)
|
47 |
|
|
O_TXD : out slbit -- pad-o: transmit data (board view)
|
48 |
|
|
);
|
49 |
|
|
end component;
|
50 |
|
|
|
51 |
|
|
component bp_rs232_4line_iob is -- iob's for 4 line rs232 (w/ RTS,CTS)
|
52 |
|
|
port (
|
53 |
|
|
CLK : in slbit; -- clock
|
54 |
|
|
RXD : out slbit; -- receive data (board view)
|
55 |
|
|
TXD : in slbit; -- transmit data (board view)
|
56 |
|
|
CTS_N : out slbit; -- clear to send (act. low)
|
57 |
|
|
RTS_N : in slbit; -- request to send (act. low)
|
58 |
|
|
I_RXD : in slbit; -- pad-i: receive data (board view)
|
59 |
|
|
O_TXD : out slbit; -- pad-o: transmit data (board view)
|
60 |
|
|
I_CTS_N : in slbit; -- pad-i: clear to send (act. low)
|
61 |
|
|
O_RTS_N : out slbit -- pad-o: request to send (act. low)
|
62 |
|
|
);
|
63 |
|
|
end component;
|
64 |
|
|
|
65 |
|
|
component bp_rs232_2l4l_iob is -- iob's for dual 2l+4l rs232, w/ select
|
66 |
|
|
generic (
|
67 |
|
|
RELAY : boolean := false); -- add a relay stage towards IOB's
|
68 |
|
|
port (
|
69 |
|
|
CLK : in slbit; -- clock
|
70 |
|
|
RESET : in slbit := '0'; -- reset
|
71 |
|
|
SEL : in slbit; -- select, '0' for port 0
|
72 |
|
|
RXD : out slbit; -- receive data (board view)
|
73 |
|
|
TXD : in slbit; -- transmit data (board view)
|
74 |
|
|
CTS_N : out slbit; -- clear to send (act. low)
|
75 |
|
|
RTS_N : in slbit; -- request to send (act. low)
|
76 |
|
|
I_RXD0 : in slbit; -- pad-i: p0: receive data (board view)
|
77 |
|
|
O_TXD0 : out slbit; -- pad-o: p0: transmit data (board view)
|
78 |
|
|
I_RXD1 : in slbit; -- pad-i: p1: receive data (board view)
|
79 |
|
|
O_TXD1 : out slbit; -- pad-o: p1: transmit data (board view)
|
80 |
|
|
I_CTS1_N : in slbit; -- pad-i: p1: clear to send (act. low)
|
81 |
|
|
O_RTS1_N : out slbit -- pad-o: p1: request to send (act. low)
|
82 |
|
|
);
|
83 |
|
|
end component;
|
84 |
|
|
|
85 |
|
|
component bp_swibtnled is -- generic SWI, BTN and LED handling
|
86 |
|
|
generic (
|
87 |
|
|
SWIDTH : positive := 4; -- SWI port width
|
88 |
|
|
BWIDTH : positive := 4; -- BTN port width
|
89 |
|
|
LWIDTH : positive := 4; -- LED port width
|
90 |
|
|
DEBOUNCE : boolean := true); -- instantiate debouncer for SWI,BTN
|
91 |
|
|
port (
|
92 |
|
|
CLK : in slbit; -- clock
|
93 |
|
|
RESET : in slbit := '0'; -- reset
|
94 |
|
|
CE_MSEC : in slbit; -- 1 ms clock enable
|
95 |
|
|
SWI : out slv(SWIDTH-1 downto 0); -- switch settings, debounced
|
96 |
|
|
BTN : out slv(BWIDTH-1 downto 0); -- button settings, debounced
|
97 |
|
|
LED : in slv(LWIDTH-1 downto 0); -- led data
|
98 |
|
|
I_SWI : in slv(SWIDTH-1 downto 0); -- pad-i: switches
|
99 |
|
|
I_BTN : in slv(BWIDTH-1 downto 0); -- pad-i: buttons
|
100 |
|
|
O_LED : out slv(LWIDTH-1 downto 0) -- pad-o: leds
|
101 |
|
|
);
|
102 |
|
|
end component;
|
103 |
|
|
|
104 |
|
|
component bp_swibtnled_rbus is -- swi,btn,led handling /w rbus icept
|
105 |
|
|
generic (
|
106 |
|
|
SWIDTH : positive := 4; -- SWI port width
|
107 |
|
|
BWIDTH : positive := 4; -- BTN port width
|
108 |
|
|
LWIDTH : positive := 4; -- LED port width
|
109 |
|
|
DEBOUNCE : boolean := true; -- instantiate debouncer for SWI,BTN
|
110 |
13 |
wfjm |
RB_ADDR : slv8 := slv(to_unsigned(2#10000000#,8)));
|
111 |
12 |
wfjm |
port (
|
112 |
|
|
CLK : in slbit; -- clock
|
113 |
|
|
RESET : in slbit := '0'; -- reset
|
114 |
|
|
CE_MSEC : in slbit; -- 1 ms clock enable
|
115 |
|
|
RB_MREQ : in rb_mreq_type; -- rbus: request
|
116 |
|
|
RB_SRES : out rb_sres_type; -- rbus: response
|
117 |
|
|
SWI : out slv(SWIDTH-1 downto 0); -- switch settings, debounced
|
118 |
|
|
BTN : out slv(BWIDTH-1 downto 0); -- button settings, debounced
|
119 |
|
|
LED : in slv(LWIDTH-1 downto 0); -- led data
|
120 |
|
|
I_SWI : in slv(SWIDTH-1 downto 0); -- pad-i: switches
|
121 |
|
|
I_BTN : in slv(BWIDTH-1 downto 0); -- pad-i: buttons
|
122 |
|
|
O_LED : out slv(LWIDTH-1 downto 0) -- pad-o: leds
|
123 |
|
|
);
|
124 |
|
|
end component;
|
125 |
|
|
|
126 |
|
|
component sn_4x7segctl is -- Quad 7 segment display controller
|
127 |
|
|
generic (
|
128 |
|
|
CDWIDTH : positive := 6); -- clk divider width (must be >= 5)
|
129 |
|
|
port (
|
130 |
|
|
CLK : in slbit; -- clock
|
131 |
|
|
DIN : in slv16; -- data
|
132 |
|
|
DP : in slv4; -- decimal points
|
133 |
|
|
ANO_N : out slv4; -- anodes (act.low)
|
134 |
|
|
SEG_N : out slv8 -- segements (act.low)
|
135 |
|
|
);
|
136 |
|
|
end component;
|
137 |
|
|
|
138 |
|
|
component sn_humanio is -- human i/o handling: swi,btn,led,dsp
|
139 |
|
|
generic (
|
140 |
|
|
BWIDTH : positive := 4; -- BTN port width
|
141 |
|
|
DEBOUNCE : boolean := true); -- instantiate debouncer for SWI,BTN
|
142 |
|
|
port (
|
143 |
|
|
CLK : in slbit; -- clock
|
144 |
|
|
RESET : in slbit := '0'; -- reset
|
145 |
|
|
CE_MSEC : in slbit; -- 1 ms clock enable
|
146 |
|
|
SWI : out slv8; -- switch settings, debounced
|
147 |
|
|
BTN : out slv(BWIDTH-1 downto 0); -- button settings, debounced
|
148 |
|
|
LED : in slv8; -- led data
|
149 |
|
|
DSP_DAT : in slv16; -- display data
|
150 |
|
|
DSP_DP : in slv4; -- display decimal points
|
151 |
|
|
I_SWI : in slv8; -- pad-i: switches
|
152 |
|
|
I_BTN : in slv(BWIDTH-1 downto 0); -- pad-i: buttons
|
153 |
|
|
O_LED : out slv8; -- pad-o: leds
|
154 |
|
|
O_ANO_N : out slv4; -- pad-o: 7 seg disp: anodes (act.low)
|
155 |
|
|
O_SEG_N : out slv8 -- pad-o: 7 seg disp: segments (act.low)
|
156 |
|
|
);
|
157 |
|
|
end component;
|
158 |
|
|
|
159 |
13 |
wfjm |
component sn_humanio_demu is -- human i/o handling: swi,btn,led only
|
160 |
|
|
generic (
|
161 |
|
|
DEBOUNCE : boolean := true); -- instantiate debouncer for SWI,BTN
|
162 |
|
|
port (
|
163 |
|
|
CLK : in slbit; -- clock
|
164 |
|
|
RESET : in slbit := '0'; -- reset
|
165 |
|
|
CE_MSEC : in slbit; -- 1 ms clock enable
|
166 |
|
|
SWI : out slv8; -- switch settings, debounced
|
167 |
|
|
BTN : out slv4; -- button settings, debounced
|
168 |
|
|
LED : in slv8; -- led data
|
169 |
|
|
DSP_DAT : in slv16; -- display data
|
170 |
|
|
DSP_DP : in slv4; -- display decimal points
|
171 |
|
|
I_SWI : in slv8; -- pad-i: switches
|
172 |
|
|
I_BTN : in slv6; -- pad-i: buttons
|
173 |
|
|
O_LED : out slv8 -- pad-o: leds
|
174 |
|
|
);
|
175 |
|
|
end component;
|
176 |
|
|
|
177 |
12 |
wfjm |
component sn_humanio_rbus is -- human i/o handling /w rbus intercept
|
178 |
|
|
generic (
|
179 |
|
|
BWIDTH : positive := 4; -- BTN port width
|
180 |
|
|
DEBOUNCE : boolean := true; -- instantiate debouncer for SWI,BTN
|
181 |
13 |
wfjm |
RB_ADDR : slv8 := slv(to_unsigned(2#10000000#,8)));
|
182 |
12 |
wfjm |
port (
|
183 |
|
|
CLK : in slbit; -- clock
|
184 |
|
|
RESET : in slbit := '0'; -- reset
|
185 |
|
|
CE_MSEC : in slbit; -- 1 ms clock enable
|
186 |
|
|
RB_MREQ : in rb_mreq_type; -- rbus: request
|
187 |
|
|
RB_SRES : out rb_sres_type; -- rbus: response
|
188 |
|
|
SWI : out slv8; -- switch settings, debounced
|
189 |
|
|
BTN : out slv(BWIDTH-1 downto 0); -- button settings, debounced
|
190 |
|
|
LED : in slv8; -- led data
|
191 |
|
|
DSP_DAT : in slv16; -- display data
|
192 |
|
|
DSP_DP : in slv4; -- display decimal points
|
193 |
|
|
I_SWI : in slv8; -- pad-i: switches
|
194 |
|
|
I_BTN : in slv(BWIDTH-1 downto 0); -- pad-i: buttons
|
195 |
|
|
O_LED : out slv8; -- pad-o: leds
|
196 |
|
|
O_ANO_N : out slv4; -- pad-o: 7 seg disp: anodes (act.low)
|
197 |
|
|
O_SEG_N : out slv8 -- pad-o: 7 seg disp: segments (act.low)
|
198 |
|
|
);
|
199 |
|
|
end component;
|
200 |
|
|
|
201 |
18 |
wfjm |
component sn_humanio_demu_rbus is -- human i/o swi,btn,led only /w rbus
|
202 |
|
|
generic (
|
203 |
|
|
DEBOUNCE : boolean := true; -- instantiate debouncer for SWI,BTN
|
204 |
|
|
RB_ADDR : slv8 := slv(to_unsigned(2#10000000#,8)));
|
205 |
|
|
port (
|
206 |
|
|
CLK : in slbit; -- clock
|
207 |
|
|
RESET : in slbit := '0'; -- reset
|
208 |
|
|
CE_MSEC : in slbit; -- 1 ms clock enable
|
209 |
|
|
RB_MREQ : in rb_mreq_type; -- rbus: request
|
210 |
|
|
RB_SRES : out rb_sres_type; -- rbus: response
|
211 |
|
|
SWI : out slv8; -- switch settings, debounced
|
212 |
|
|
BTN : out slv4; -- button settings, debounced
|
213 |
|
|
LED : in slv8; -- led data
|
214 |
|
|
DSP_DAT : in slv16; -- display data
|
215 |
|
|
DSP_DP : in slv4; -- display decimal points
|
216 |
|
|
I_SWI : in slv8; -- pad-i: switches
|
217 |
|
|
I_BTN : in slv6; -- pad-i: buttons
|
218 |
|
|
O_LED : out slv8 -- pad-o: leds
|
219 |
|
|
);
|
220 |
|
|
end component;
|
221 |
|
|
|
222 |
12 |
wfjm |
end package bpgenlib;
|