OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.61/] [rtl/] [bplib/] [nxcramlib/] [nx_cram_dummy.vhd] - Blame information for rev 33

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 wfjm
-- $Id: nx_cram_dummy.vhd 433 2011-11-27 22:04:39Z mueller $
2 2 wfjm
--
3 15 wfjm
-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
-- 
14
------------------------------------------------------------------------------
15 15 wfjm
-- Module Name:    nx_cram_dummy - syn
16
-- Description:    nexys2/3: CRAM protection dummy
17 2 wfjm
--
18
-- Dependencies:   -
19
-- Test bench:     -
20
-- Target Devices: generic
21 15 wfjm
-- Tool versions:  xst 11.4, 13.1; ghdl 0.26-0.29
22 2 wfjm
-- Revision History: 
23
-- Date         Rev Version  Comment
24 15 wfjm
-- 2011-11-26   433   1.2    renamed from n2_cram_dummy
25
-- 2011-11-23   432   1.1    remove O_FLA_CE_N port
26 2 wfjm
-- 2010-05-28   295   1.0.1  use _ADV_N
27
-- 2010-05-21   292   1.0    Initial version 
28
------------------------------------------------------------------------------
29
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
 
33
use work.slvtypes.all;
34
 
35 15 wfjm
entity nx_cram_dummy is                 -- CRAM protection dummy
36 2 wfjm
  port (
37
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
38
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
39
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
40
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
41
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
42
    O_MEM_CLK : out slbit;              -- cram: clock
43
    O_MEM_CRE : out slbit;              -- cram: command register enable
44
    I_MEM_WAIT : in slbit;              -- cram: mem wait
45
    O_MEM_ADDR  : out slv23;            -- cram: address lines
46
    IO_MEM_DATA : inout slv16           -- cram: data lines
47
  );
48 15 wfjm
end nx_cram_dummy;
49 2 wfjm
 
50
 
51 15 wfjm
architecture syn of nx_cram_dummy is
52 2 wfjm
begin
53
 
54
  O_MEM_CE_N  <= '1';                    -- disable cram chip
55
  O_MEM_BE_N  <= "11";
56
  O_MEM_WE_N  <= '1';
57
  O_MEM_OE_N  <= '1';
58
  O_MEM_ADV_N <= '1';
59
  O_MEM_CLK   <= '0';
60
  O_MEM_CRE   <= '0';
61
  O_MEM_ADDR  <= (others=>'0');
62
  IO_MEM_DATA <= (others=>'0');
63
 
64
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.