OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.61/] [rtl/] [ibus/] [ibdr_maxisys.vhd] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 wfjm
-- $Id: ibdr_maxisys.vhd 565 2014-06-28 12:54:08Z mueller $
2 2 wfjm
--
3 25 wfjm
-- Copyright 2009-2014 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    ibdr_maxisys - syn
16
-- Description:    ibus(rem) devices for full system
17
--
18
-- Dependencies:   ibd_iist
19
--                 ibd_kw11l
20 25 wfjm
--                 ibdr_rl11
21 2 wfjm
--                 ibdr_rk11
22
--                 ibdr_dl11
23
--                 ibdr_pc11
24
--                 ibdr_lp11
25
--                 ibdr_sdreg
26
--                 ib_sres_or_4
27
--                 ib_sres_or_3
28
--                 ib_intmap
29
-- Test bench:     -
30
-- Target Devices: generic
31 25 wfjm
-- Tool versions:  xst 8.2-14.7; ghdl 0.18-0.31
32 8 wfjm
--
33
-- Synthesized (xst):
34
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
35 25 wfjm
-- 2014-06-08   561 14.7  131013 xc6slx16-2   380  748   18  266 s  7.1 +RL11
36
-- 2014-06-08   560 14.7  131013 xc6slx16-2   311  615    8  216 s  7.1
37 9 wfjm
-- 2010-10-17   333 12.1    M53d xc3s1000-4   312 1058   16  617 s 10.3
38
-- 2010-10-17   314 12.1    M53d xc3s1000-4   300 1094   16  626 s 10.4
39 8 wfjm
--
40 2 wfjm
-- Revision History: 
41
-- Date         Rev Version  Comment
42 25 wfjm
-- 2014-06-27   565   1.2.1  temporarily hide RL11
43
-- 2014-06-08   561   1.2    add rl11
44 13 wfjm
-- 2011-11-18   427   1.1.2  now numeric_std clean
45 8 wfjm
-- 2010-10-23   335   1.1.1  rename RRI_LAM->RB_LAM;
46 2 wfjm
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
47
-- 2009-07-12   233   1.0.4  reorder ports; add RESET, CE_USEC to _dl11
48
-- 2009-06-20   227   1.0.3  rename generate labels.
49
-- 2009-06-07   224   1.0.2  add iist_mreq and iist_sres interfaces
50
-- 2009-06-01   221   1.0.1  add CE_USEC; add RESET to kw11l; add _pc11, _iist
51
-- 2009-05-24   219   1.0    Initial version
52
------------------------------------------------------------------------------
53
-- 
54
-- 
55
-- full system setup
56
--
57
-- ibbase  vec  pri  slot attn  sror device name
58
-- 
59
-- 172540  104   ?7 14 17    -  1/1  KW11-P
60
-- 177500  260    6 13 16    -  1/2  IIST
61
-- 177546  100    6 12 15    -  1/3  KW11-L
62
-- 174510  120    5    14    9  1/4  DEUNA
63
-- 176700  254    5    13    6  2/1  RH70/RP06
64
-- 174400  160    5 11 12    5  2/2  RL11
65
-- 177400  220    5 10 11    4  2/3  RK11
66
-- 172520  224    5    10    7  2/4  TM11
67
-- 160100  310?   5  9  9    3  3/1  DZ11-RX
68
--         314?   5  8  8    ^       DZ11-TX
69
-- 177560  060    4  7  7    1  3/2  DL11-RX  1st
70
--         064    4  6  6    ^       DL11-TX  1st
71
-- 176500  300    4  5  5    2  3/3  DL11-RX  2nd
72
--         304    4  4  4    ^       DL11-TX  2nd
73
-- 177550  070    4  3  3   10  4/1  PC11/PTR
74
--         074    4  2  2    ^       PC11/PTP
75
-- 177514  200    4  1  1    8  4/2  LP11
76
-- 177570    -    -     -    -  4/3  sdreg
77
-- 
78
 
79
library ieee;
80
use ieee.std_logic_1164.all;
81 13 wfjm
use ieee.numeric_std.all;
82 2 wfjm
 
83
use work.slvtypes.all;
84
use work.iblib.all;
85
use work.ibdlib.all;
86
 
87
-- ----------------------------------------------------------------------------
88
entity ibdr_maxisys is                  -- ibus(rem) full system
89
  port (
90
    CLK : in slbit;                     -- clock
91
    CE_USEC : in slbit;                 -- usec pulse
92
    CE_MSEC : in slbit;                 -- msec pulse
93
    RESET : in slbit;                   -- reset
94
    BRESET : in slbit;                  -- ibus reset
95 8 wfjm
    RB_LAM : out slv16_1;               -- remote attention vector
96 2 wfjm
    IB_MREQ : in ib_mreq_type;          -- ibus request
97
    IB_SRES : out ib_sres_type;         -- ibus response
98
    EI_ACKM : in slbit;                 -- interrupt acknowledge (from master)
99
    EI_PRI : out slv3;                  -- interrupt priority (to cpu)
100
    EI_VECT : out slv9_2;               -- interrupt vector   (to cpu)
101
    DISPREG : out slv16                 -- display register
102
  );
103
end ibdr_maxisys;
104
 
105
architecture syn of ibdr_maxisys is
106
 
107
  constant conf_intmap : intmap_array_type :=
108
    (intmap_init,                       -- line 15
109
     (8#104#,6),                        -- line 14  KW11-P
110
     (8#260#,6),                        -- line 13  IIST
111
     (8#100#,6),                        -- line 12  KW11-L
112
     (8#160#,5),                        -- line 11  RL11
113
     (8#220#,5),                        -- line 10  RK11
114
     (8#310#,5),                        -- line  9  DZ11-RX
115
     (8#314#,5),                        -- line  8  DZ11-TX
116
     (8#060#,4),                        -- line  7  DL11-RX 1st
117
     (8#064#,4),                        -- line  6  DL11-TX 1st
118
     (8#300#,4),                        -- line  5  DL11-RX 2nd
119
     (8#304#,4),                        -- line  4  DL11-TX 2nd
120
     (8#070#,4),                        -- line  3  PC11-PTR
121
     (8#074#,4),                        -- line  2  PC11-PTP
122
     (8#200#,4),                        -- line  1  LP11
123
     intmap_init                        -- line  0
124
     );
125
 
126 8 wfjm
  signal RB_LAM_DENUA  : slbit := '0';
127
  signal RB_LAM_RP06   : slbit := '0';
128
  signal RB_LAM_RL11   : slbit := '0';
129
  signal RB_LAM_RK11   : slbit := '0';
130
  signal RB_LAM_TM11   : slbit := '0';
131
  signal RB_LAM_DZ11   : slbit := '0';
132
  signal RB_LAM_DL11_0 : slbit := '0';
133
  signal RB_LAM_DL11_1 : slbit := '0';
134
  signal RB_LAM_PC11   : slbit := '0';
135
  signal RB_LAM_LP11   : slbit := '0';
136 2 wfjm
 
137
  signal IB_SRES_IIST   : ib_sres_type := ib_sres_init;
138
  signal IB_SRES_KW11P  : ib_sres_type := ib_sres_init;
139
  signal IB_SRES_KW11L  : ib_sres_type := ib_sres_init;
140
  signal IB_SRES_DEUNA  : ib_sres_type := ib_sres_init;
141
  signal IB_SRES_RP06   : ib_sres_type := ib_sres_init;
142
  signal IB_SRES_RL11   : ib_sres_type := ib_sres_init;
143
  signal IB_SRES_RK11   : ib_sres_type := ib_sres_init;
144
  signal IB_SRES_TM11   : ib_sres_type := ib_sres_init;
145
  signal IB_SRES_DZ11   : ib_sres_type := ib_sres_init;
146
  signal IB_SRES_DL11_0 : ib_sres_type := ib_sres_init;
147
  signal IB_SRES_DL11_1 : ib_sres_type := ib_sres_init;
148
  signal IB_SRES_PC11   : ib_sres_type := ib_sres_init;
149
  signal IB_SRES_LP11   : ib_sres_type := ib_sres_init;
150
  signal IB_SRES_SDREG  : ib_sres_type := ib_sres_init;
151
 
152
  signal IB_SRES_1      : ib_sres_type := ib_sres_init;
153
  signal IB_SRES_2      : ib_sres_type := ib_sres_init;
154
  signal IB_SRES_3      : ib_sres_type := ib_sres_init;
155
  signal IB_SRES_4      : ib_sres_type := ib_sres_init;
156
 
157
  signal EI_REQ  : slv16_1 := (others=>'0');
158
  signal EI_ACK  : slv16_1 := (others=>'0');
159
 
160
  signal EI_REQ_IIST     : slbit := '0';
161
  signal EI_REQ_KW11P    : slbit := '0';
162
  signal EI_REQ_KW11L    : slbit := '0';
163
  signal EI_REQ_DEUNA    : slbit := '0';
164
  signal EI_REQ_RP06     : slbit := '0';
165
  signal EI_REQ_RL11     : slbit := '0';
166
  signal EI_REQ_RK11     : slbit := '0';
167
  signal EI_REQ_TM11     : slbit := '0';
168
  signal EI_REQ_DZ11RX   : slbit := '0';
169
  signal EI_REQ_DZ11TX   : slbit := '0';
170
  signal EI_REQ_DL11RX_0 : slbit := '0';
171
  signal EI_REQ_DL11TX_0 : slbit := '0';
172
  signal EI_REQ_DL11RX_1 : slbit := '0';
173
  signal EI_REQ_DL11TX_1 : slbit := '0';
174
  signal EI_REQ_PC11PTR  : slbit := '0';
175
  signal EI_REQ_PC11PTP  : slbit := '0';
176
  signal EI_REQ_LP11     : slbit := '0';
177
 
178
  signal EI_ACK_IIST     : slbit := '0';
179
  signal EI_ACK_KW11P    : slbit := '0';
180
  signal EI_ACK_KW11L    : slbit := '0';
181
  signal EI_ACK_DEUNA    : slbit := '0';
182
  signal EI_ACK_RP06     : slbit := '0';
183
  signal EI_ACK_RL11     : slbit := '0';
184
  signal EI_ACK_RK11     : slbit := '0';
185
  signal EI_ACK_TM11     : slbit := '0';
186
  signal EI_ACK_DZ11RX   : slbit := '0';
187
  signal EI_ACK_DZ11TX   : slbit := '0';
188
  signal EI_ACK_DL11RX_0 : slbit := '0';
189
  signal EI_ACK_DL11TX_0 : slbit := '0';
190
  signal EI_ACK_DL11RX_1 : slbit := '0';
191
  signal EI_ACK_DL11TX_1 : slbit := '0';
192
  signal EI_ACK_PC11PTR  : slbit := '0';
193
  signal EI_ACK_PC11PTP  : slbit := '0';
194
  signal EI_ACK_LP11     : slbit := '0';
195
 
196
  signal IIST_BUS        : iist_bus_type := iist_bus_init;
197
  signal IIST_OUT_0      : iist_line_type := iist_line_init;
198
  signal IIST_MREQ       : iist_mreq_type := iist_mreq_init;
199
  signal IIST_SRES       : iist_sres_type := iist_sres_init;
200
 
201
begin
202
 
203
  IIST: if true generate
204
  begin
205
    I0 : ibd_iist
206
      port map (
207
        CLK       => CLK,
208
        CE_USEC   => CE_USEC,
209
        RESET     => RESET,
210
        BRESET    => BRESET,
211
        IB_MREQ   => IB_MREQ,
212
        IB_SRES   => IB_SRES_IIST,
213
        EI_REQ    => EI_REQ_IIST,
214
        EI_ACK    => EI_ACK_IIST,
215
        IIST_BUS  => IIST_BUS,
216
        IIST_OUT  => IIST_OUT_0,
217
        IIST_MREQ => IIST_MREQ,
218
        IIST_SRES => IIST_SRES
219
      );
220
 
221
    IIST_BUS(0) <= IIST_OUT_0;
222
    IIST_BUS(1) <= iist_line_init;
223
    IIST_BUS(2) <= iist_line_init;
224
    IIST_BUS(3) <= iist_line_init;
225
 
226
  end generate IIST;
227
 
228
  KW11L : ibd_kw11l
229
    port map (
230
      CLK     => CLK,
231
      CE_MSEC => CE_MSEC,
232
      RESET   => RESET,
233
      BRESET  => BRESET,
234
      IB_MREQ => IB_MREQ,
235
      IB_SRES => IB_SRES_KW11L,
236
      EI_REQ  => EI_REQ_KW11L,
237
      EI_ACK  => EI_ACK_KW11L
238
    );
239
 
240 25 wfjm
--  RL11: if true  generate
241
--  begin
242
--    I0 : ibdr_rl11
243
--      port map (
244
--        CLK     => CLK,
245
--        CE_MSEC => CE_MSEC,
246
--        BRESET  => BRESET,
247
--        RB_LAM  => RB_LAM_RL11,
248
--        IB_MREQ => IB_MREQ,
249
--        IB_SRES => IB_SRES_RL11,
250
--        EI_REQ  => EI_REQ_RL11,
251
--        EI_ACK  => EI_ACK_RL11
252
--      );
253
--  end generate RL11;
254
 
255 2 wfjm
  RK11: if true generate
256
  begin
257
    I0 : ibdr_rk11
258
      port map (
259
        CLK     => CLK,
260
        CE_MSEC => CE_MSEC,
261
        BRESET  => BRESET,
262 8 wfjm
        RB_LAM  => RB_LAM_RK11,
263 2 wfjm
        IB_MREQ => IB_MREQ,
264
        IB_SRES => IB_SRES_RK11,
265
        EI_REQ  => EI_REQ_RK11,
266
        EI_ACK  => EI_ACK_RK11
267
      );
268
  end generate RK11;
269
 
270
  DL11_0 : ibdr_dl11
271
    port map (
272
      CLK       => CLK,
273
      CE_USEC   => CE_USEC,
274
      RESET     => RESET,
275
      BRESET    => BRESET,
276 8 wfjm
      RB_LAM    => RB_LAM_DL11_0,
277 2 wfjm
      IB_MREQ   => IB_MREQ,
278
      IB_SRES   => IB_SRES_DL11_0,
279
      EI_REQ_RX => EI_REQ_DL11RX_0,
280
      EI_REQ_TX => EI_REQ_DL11TX_0,
281
      EI_ACK_RX => EI_ACK_DL11RX_0,
282
      EI_ACK_TX => EI_ACK_DL11TX_0
283
    );
284
 
285
  DL11_1: if true generate
286
  begin
287
    I0 : ibdr_dl11
288
      generic map (
289 13 wfjm
        IB_ADDR   => slv(to_unsigned(8#176500#,16)))
290 2 wfjm
      port map (
291
        CLK       => CLK,
292
        CE_USEC   => CE_USEC,
293
        RESET     => RESET,
294
        BRESET    => BRESET,
295 8 wfjm
        RB_LAM    => RB_LAM_DL11_1,
296 2 wfjm
        IB_MREQ   => IB_MREQ,
297
        IB_SRES   => IB_SRES_DL11_1,
298
        EI_REQ_RX => EI_REQ_DL11RX_1,
299
        EI_REQ_TX => EI_REQ_DL11TX_1,
300
        EI_ACK_RX => EI_ACK_DL11RX_1,
301
        EI_ACK_TX => EI_ACK_DL11TX_1
302
      );
303
  end generate DL11_1;
304
 
305
  PC11: if true generate
306
  begin
307
    I0 : ibdr_pc11
308
      port map (
309
        CLK        => CLK,
310
        RESET      => RESET,
311
        BRESET     => BRESET,
312 8 wfjm
        RB_LAM     => RB_LAM_PC11,
313 2 wfjm
        IB_MREQ    => IB_MREQ,
314
        IB_SRES    => IB_SRES_PC11,
315
        EI_REQ_PTR => EI_REQ_PC11PTR,
316
        EI_REQ_PTP => EI_REQ_PC11PTP,
317
        EI_ACK_PTR => EI_ACK_PC11PTR,
318
        EI_ACK_PTP => EI_ACK_PC11PTP
319
      );
320
  end generate PC11;
321
 
322
  LP11: if true generate
323
  begin
324
    I0 : ibdr_lp11
325
      port map (
326
        CLK     => CLK,
327
        RESET   => RESET,
328
        BRESET  => BRESET,
329 8 wfjm
        RB_LAM  => RB_LAM_LP11,
330 2 wfjm
        IB_MREQ => IB_MREQ,
331
        IB_SRES => IB_SRES_LP11,
332
        EI_REQ  => EI_REQ_LP11,
333
        EI_ACK  => EI_ACK_LP11
334
      );
335
  end generate LP11;
336
 
337
  SDREG : ibdr_sdreg
338
    port map (
339
      CLK     => CLK,
340
      RESET   => RESET,
341
      IB_MREQ => IB_MREQ,
342
      IB_SRES => IB_SRES_SDREG,
343
      DISPREG => DISPREG
344
    );
345
 
346
  SRES_OR_1 : ib_sres_or_4
347
    port map (
348
      IB_SRES_1  => IB_SRES_KW11P,
349
      IB_SRES_2  => IB_SRES_IIST,
350
      IB_SRES_3  => IB_SRES_KW11L,
351
      IB_SRES_4  => IB_SRES_DEUNA,
352
      IB_SRES_OR => IB_SRES_1
353
    );
354
 
355
  SRES_OR_2 : ib_sres_or_4
356
    port map (
357
      IB_SRES_1  => IB_SRES_RP06,
358
      IB_SRES_2  => IB_SRES_RL11,
359
      IB_SRES_3  => IB_SRES_RK11,
360
      IB_SRES_4  => IB_SRES_TM11,
361
      IB_SRES_OR => IB_SRES_2
362
    );
363
 
364
  SRES_OR_3 : ib_sres_or_3
365
    port map (
366
      IB_SRES_1  => IB_SRES_DZ11,
367
      IB_SRES_2  => IB_SRES_DL11_0,
368
      IB_SRES_3  => IB_SRES_DL11_1,
369
      IB_SRES_OR => IB_SRES_3
370
    );
371
 
372
  SRES_OR_4 : ib_sres_or_3
373
    port map (
374
      IB_SRES_1  => IB_SRES_PC11,
375
      IB_SRES_2  => IB_SRES_LP11,
376
      IB_SRES_3  => IB_SRES_SDREG,
377
      IB_SRES_OR => IB_SRES_4
378
    );
379
 
380
  SRES_OR : ib_sres_or_4
381
    port map (
382
      IB_SRES_1  => IB_SRES_1,
383
      IB_SRES_2  => IB_SRES_2,
384
      IB_SRES_3  => IB_SRES_3,
385
      IB_SRES_4  => IB_SRES_4,
386
      IB_SRES_OR => IB_SRES
387
    );
388
 
389
  INTMAP : ib_intmap
390
    generic map (
391
      INTMAP => conf_intmap)
392
    port map (
393
      EI_REQ  => EI_REQ,
394
      EI_ACKM => EI_ACKM,
395
      EI_ACK  => EI_ACK,
396
      EI_PRI  => EI_PRI,
397
      EI_VECT => EI_VECT
398
    );
399
 
400
  EI_REQ(14) <= EI_REQ_KW11P;
401
  EI_REQ(13) <= EI_REQ_IIST;
402
  EI_REQ(12) <= EI_REQ_KW11L;
403
  EI_REQ(11) <= EI_REQ_RL11;
404
  EI_REQ(10) <= EI_REQ_RK11;
405
  EI_REQ( 9) <= EI_REQ_DZ11RX;
406
  EI_REQ( 8) <= EI_REQ_DZ11TX;
407
  EI_REQ( 7) <= EI_REQ_DL11RX_0;
408
  EI_REQ( 6) <= EI_REQ_DL11TX_0;
409
  EI_REQ( 5) <= EI_REQ_DL11RX_1;
410
  EI_REQ( 4) <= EI_REQ_DL11TX_1;
411
  EI_REQ( 3) <= EI_REQ_PC11PTR;
412
  EI_REQ( 2) <= EI_REQ_PC11PTP;
413
  EI_REQ( 1) <= EI_REQ_LP11;
414
 
415
  EI_ACK_KW11P    <= EI_ACK(14);
416
  EI_ACK_IIST     <= EI_ACK(13);
417
  EI_ACK_KW11L    <= EI_ACK(12);
418
  EI_ACK_RL11     <= EI_ACK(11);
419
  EI_ACK_RK11     <= EI_ACK(10);
420
  EI_ACK_DZ11RX   <= EI_ACK( 9);
421
  EI_ACK_DZ11TX   <= EI_ACK( 8);
422
  EI_ACK_DL11RX_0 <= EI_ACK( 7);
423
  EI_ACK_DL11TX_0 <= EI_ACK( 6);
424
  EI_ACK_DL11RX_1 <= EI_ACK( 5);
425
  EI_ACK_DL11TX_1 <= EI_ACK( 4);
426
  EI_ACK_PC11PTR  <= EI_ACK( 3);
427
  EI_ACK_PC11PTP  <= EI_ACK( 2);
428
  EI_ACK_LP11     <= EI_ACK( 1);
429
 
430 8 wfjm
  RB_LAM(15 downto 11) <= (others=>'0');
431
  RB_LAM(10) <= RB_LAM_PC11;
432
  RB_LAM( 9) <= RB_LAM_DENUA;
433
  RB_LAM( 8) <= RB_LAM_LP11;
434
  RB_LAM( 7) <= RB_LAM_TM11;
435
  RB_LAM( 6) <= RB_LAM_RP06;
436
  RB_LAM( 5) <= RB_LAM_RL11;
437
  RB_LAM( 4) <= RB_LAM_RK11;
438
  RB_LAM( 3) <= RB_LAM_DZ11;
439
  RB_LAM( 2) <= RB_LAM_DL11_1;
440
  RB_LAM( 1) <= RB_LAM_DL11_0;
441 2 wfjm
 
442
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.