OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.61/] [rtl/] [sys_gen/] [tst_serloop/] [s3board/] [tb/] [tb_tst_serloop_s3.vhd] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 wfjm
-- $Id: tb_tst_serloop_s3.vhd 444 2011-12-25 10:04:58Z mueller $
2 16 wfjm
--
3
-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    tb_tst_serloop_s3 - sim
16
-- Description:    Test bench for sys_tst_serloop_s3
17
--
18
-- Dependencies:   simlib/simclk
19
--                 vlib/xlib/dcm_sfs
20
--                 sys_tst_serloop_s3 [UUT]
21
--                 tb/tb_tst_serloop
22
--
23
-- To test:        sys_tst_serloop_s3
24
--
25
-- Target Devices: generic
26
--
27
-- Revision History: 
28
-- Date         Rev Version  Comment
29 17 wfjm
-- 2011-12-23   444   1.1    use new simclk
30 16 wfjm
-- 2011-11-17   426   1.0.1  use dcm_sfs now
31
-- 2011-11-06   420   1.0    Initial version 
32
------------------------------------------------------------------------------
33
 
34
library ieee;
35
use ieee.std_logic_1164.all;
36
use ieee.numeric_std.all;
37
use ieee.std_logic_textio.all;
38
use std.textio.all;
39
 
40
use work.slvtypes.all;
41
use work.xlib.all;
42
use work.simlib.all;
43
 
44
entity tb_tst_serloop_s3 is
45
end tb_tst_serloop_s3;
46
 
47
architecture sim of tb_tst_serloop_s3 is
48
 
49
  signal CLK50 : slbit := '0';
50
  signal CLK_STOP  : slbit := '0';
51
 
52
  signal CLKS  : slbit := '0';
53
 
54
  signal I_RXD : slbit := '1';
55
  signal O_TXD : slbit := '1';
56
  signal I_SWI : slv8 := (others=>'0');
57
  signal I_BTN : slv4 := (others=>'0');
58
 
59
  signal O_FUSP_RTS_N : slbit := '0';
60
  signal I_FUSP_CTS_N : slbit := '0';
61
  signal I_FUSP_RXD : slbit := '1';
62
  signal O_FUSP_TXD : slbit := '1';
63
 
64
  signal RXD : slbit := '1';
65
  signal TXD : slbit := '1';
66
  signal SWI : slv8 := (others=>'0');
67
  signal BTN : slv4 := (others=>'0');
68
 
69
  signal FUSP_RTS_N : slbit := '0';
70
  signal FUSP_CTS_N : slbit := '0';
71
  signal FUSP_RXD : slbit := '1';
72
  signal FUSP_TXD : slbit := '1';
73
 
74
  constant clock_period : time :=   20 ns;
75
  constant clock_offset : time :=  200 ns;
76
  constant delay_time :   time :=    2 ns;
77
 
78
begin
79
 
80
  SYSCLK : simclk
81
    generic map (
82
      PERIOD => clock_period,
83
      OFFSET => clock_offset)
84
    port map (
85
      CLK       => CLK50,
86
      CLK_STOP  => CLK_STOP
87
    );
88
 
89
  DCM_S : dcm_sfs
90
    generic map (
91
      CLKFX_DIVIDE   => 5,
92
      CLKFX_MULTIPLY => 6,
93
      CLKIN_PERIOD   => 20.0)
94
    port map (
95
      CLKIN   => CLK50,
96
      CLKFX   => CLKS,
97
      LOCKED  => open
98
    );
99
 
100
  UUT : entity work.sys_tst_serloop_s3
101
    port map (
102
      I_CLK50      => CLK50,
103
      I_RXD        => I_RXD,
104
      O_TXD        => O_TXD,
105
      I_SWI        => I_SWI,
106
      I_BTN        => I_BTN,
107
      O_LED        => open,
108
      O_ANO_N      => open,
109
      O_SEG_N      => open,
110
      O_MEM_CE_N   => open,
111
      O_MEM_BE_N   => open,
112
      O_MEM_WE_N   => open,
113
      O_MEM_OE_N   => open,
114
      O_MEM_ADDR   => open,
115
      IO_MEM_DATA  => open,
116
      O_FUSP_RTS_N => O_FUSP_RTS_N,
117
      I_FUSP_CTS_N => I_FUSP_CTS_N,
118
      I_FUSP_RXD   => I_FUSP_RXD,
119
      O_FUSP_TXD   => O_FUSP_TXD
120
    );
121
 
122
  GENTB : entity work.tb_tst_serloop
123
    port map (
124
      CLKS      => CLKS,
125
      CLKH      => CLKS,
126
      CLK_STOP  => CLK_STOP,
127
      P0_RXD    => RXD,
128
      P0_TXD    => TXD,
129
      P0_RTS_N  => '0',
130
      P0_CTS_N  => open,
131
      P1_RXD    => FUSP_RXD,
132
      P1_TXD    => FUSP_TXD,
133
      P1_RTS_N  => FUSP_RTS_N,
134
      P1_CTS_N  => FUSP_CTS_N,
135
      SWI       => SWI,
136
      BTN       => BTN
137
    );
138
 
139
  I_RXD        <= RXD          after delay_time;
140
  TXD          <= O_TXD        after delay_time;
141
  FUSP_RTS_N   <= O_FUSP_RTS_N after delay_time;
142
  I_FUSP_CTS_N <= FUSP_CTS_N   after delay_time;
143
  I_FUSP_RXD   <= FUSP_RXD     after delay_time;
144
  FUSP_TXD     <= O_FUSP_TXD   after delay_time;
145
 
146
  I_SWI <= SWI after delay_time;
147
  I_BTN <= BTN after delay_time;
148
 
149
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.