OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.61/] [rtl/] [vlib/] [rbus/] [rb_mon_sb.vhd] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 wfjm
-- $Id: rb_mon_sb.vhd 444 2011-12-25 10:04:58Z mueller $
2 2 wfjm
--
3 17 wfjm
-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15 9 wfjm
-- Module Name:    rb_mon_sb - sim
16
-- Description:    simbus wrapper for rbus monitor (for tb's)
17 2 wfjm
--
18
-- Dependencies:   simbus
19 17 wfjm
--                 simlib/simclkcnt
20
--                 rb_mon
21 2 wfjm
-- Test bench:     -
22 17 wfjm
-- Tool versions:  xst 8.2, 9.1, 9.2, 13.1; ghdl 0.18-0.29
23 9 wfjm
--
24 2 wfjm
-- Revision History: 
25
-- Date         Rev Version  Comment
26 17 wfjm
-- 2011-12-23   444   3.1    use simclkcnt instead of simbus global
27 9 wfjm
-- 2010-12-22   346   3.0    renamed rritb_rbmon_sb -> rb_mon_sb
28 2 wfjm
-- 2010-06-05   301   2.0.2  renamed _rpmon -> _rbmon
29
-- 2010-05-02   287   2.0.1  rename RP_STAT->RB_STAT,AP_LAM->RB_LAM
30
--                           drop RP_IINT signal from interfaces
31
--                           use sbcntl_sbf_cpmon def
32
-- 2008-08-24   162   2.0    with new rb_mreq/rb_sres interface
33
-- 2007-12-23   105   1.2    added AP_LAM display
34
-- 2007-11-24    98   1.1    added RP_IINT support
35
-- 2007-08-27    76   1.0    Initial version 
36
------------------------------------------------------------------------------
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
 
41
use work.slvtypes.all;
42
use work.simlib.all;
43
use work.simbus.all;
44 9 wfjm
use work.rblib.all;
45 2 wfjm
 
46 9 wfjm
entity rb_mon_sb is                     -- simbus wrapper for rbus monitor
47 2 wfjm
  generic (
48
    DBASE : positive :=  2;             -- base for writing data values
49
    ENAPIN : integer := sbcntl_sbf_rbmon); -- SB_CNTL signal to use for enable
50
  port (
51
    CLK  : in slbit;                    -- clock
52
    RB_MREQ : in rb_mreq_type;          -- rbus: request
53
    RB_SRES : in rb_sres_type;          -- rbus: response
54
    RB_LAM : in slv16 := (others=>'0'); -- rbus: look at me
55
    RB_STAT : in slv3                   -- rbus: status flags
56
  );
57 9 wfjm
end rb_mon_sb;
58 2 wfjm
 
59
 
60 9 wfjm
architecture sim of rb_mon_sb is
61 2 wfjm
 
62
  signal ENA : slbit := '0';
63 17 wfjm
  signal CLK_CYCLE : integer := 0;
64 2 wfjm
 
65
begin
66
 
67
  assert ENAPIN>=SB_CNTL'low and ENAPIN<=SB_CNTL'high
68
    report "assert(ENAPIN in SB_CNTL'range)" severity failure;
69
 
70 17 wfjm
  CLKCNT : simclkcnt port map (CLK => CLK, CLK_CYCLE => CLK_CYCLE);
71
 
72 2 wfjm
  ENA <= to_x01(SB_CNTL(ENAPIN));
73
 
74 9 wfjm
  RBMON : rb_mon
75 2 wfjm
    generic map (
76
      DBASE => DBASE)
77
    port map (
78
      CLK       => CLK,
79 17 wfjm
      CLK_CYCLE => CLK_CYCLE,
80 2 wfjm
      ENA       => ENA,
81
      RB_MREQ   => RB_MREQ,
82
      RB_SRES   => RB_SRES,
83
      RB_LAM    => RB_LAM,
84
      RB_STAT   => RB_STAT
85
    );
86
 
87
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.