OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.61/] [rtl/] [w11a/] [pdp11_tmu.vhd] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 wfjm
-- $Id: pdp11_tmu.vhd 333 2010-10-17 21:18:33Z mueller $
2 2 wfjm
--
3
-- Copyright 2008-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_tmu - sim
16
-- Description:    pdp11: trace and monitor unit
17
--
18
-- Dependencies:   -
19
--
20
-- Test bench:     tb/tb_pdp11_core (implicit)
21
-- Target Devices: generic
22
-- Tool versions:  ghdl 0.18-0.25
23 8 wfjm
--
24 2 wfjm
-- Revision History: 
25
-- Date         Rev Version  Comment
26 8 wfjm
-- 2010-10-17   333   1.0.6  use ibus V2 interface
27 2 wfjm
-- 2010-06-26   309   1.0.5  add ibmreq.dip,.cacc,.racc to trace
28
-- 2009-05-10   214   1.0.4  add ENA signal (trace enable)
29
-- 2008-12-14   177   1.0.3  write gpr_* of DM_STAT_DP and dp_ireg_we_last
30
-- 2008-12-13   176   1.0.2  write only cycle currently used by tmu_conf
31
-- 2008-08-22   161   1.0.1  rename ubf_ -> ibf_
32
-- 2008-04-19   137   1.0    Initial version 
33
------------------------------------------------------------------------------
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.std_logic_arith.all;
38
use ieee.std_logic_textio.all;
39
use std.textio.all;
40
 
41
use work.slvtypes.all;
42
use work.simlib.all;
43
use work.simbus.all;
44
use work.pdp11.all;
45
 
46
-- ----------------------------------------------------------------------------
47
 
48
entity pdp11_tmu is                     -- trace and monitor unit
49
  port (
50
    CLK : in slbit;                     -- clock
51
    ENA : in slbit := '0';              -- enable trace output
52
    DM_STAT_DP : in dm_stat_dp_type;    -- DM dpath
53
    DM_STAT_VM : in dm_stat_vm_type;    -- DM vmbox
54
    DM_STAT_CO : in dm_stat_co_type;    -- DM core
55
    DM_STAT_SY : in dm_stat_sy_type     -- DM system
56
  );
57
end pdp11_tmu;
58
 
59
 
60
architecture sim of pdp11_tmu is
61
 
62
  signal R_FIRST : slbit := '1';
63
 
64
begin
65
 
66
  proc_tm: process (CLK)
67
    variable oline  : line;
68
    variable ipsw   : slv16 := (others=>'0');
69
    variable ibaddr : slv16 := (others=>'0');
70
    variable emaddr : slv22 := (others=>'0');
71
    variable dp_ireg_we_last : slbit := '0';
72
    variable vm_ibsres_busy_last : slbit := '0';
73
    variable vm_ibsres_ack_last  : slbit := '0';
74
    variable wcycle : boolean := false;
75
    file ofile : text open write_mode is "tmu_ofile";
76
  begin
77
 
78
 
79
    if CLK'event and CLK='1' then
80
 
81
      if R_FIRST = '1' then
82
        R_FIRST <= '0';
83
        write(oline, string'("#"));
84
        write(oline, string'(" clkcycle:d"));
85
        write(oline, string'(" cpu:o"));
86
        write(oline, string'(" dp.pc:o"));
87
        write(oline, string'(" dp.psw:o"));
88
        write(oline, string'(" dp.ireg:o"));
89
        write(oline, string'(" dp.ireg_we:b"));
90
        write(oline, string'(" dp.ireg_we_last:b"));  -- is ireg_we last cycle
91
        write(oline, string'(" dp.dsrc:o"));
92
        write(oline, string'(" dp.ddst:o"));
93
        write(oline, string'(" dp.dtmp:o"));
94
        write(oline, string'(" dp.dres:o"));
95
        write(oline, string'(" dp.gpr_adst:o"));
96
        write(oline, string'(" dp.gpr_mode:o"));
97
        write(oline, string'(" dp.gpr_bytop:b"));
98
        write(oline, string'(" dp.gpr_we:b"));
99
 
100 8 wfjm
        write(oline, string'(" vm.ibmreq.aval:b"));
101
        write(oline, string'(" vm.ibmreq.re:b"));
102 2 wfjm
        write(oline, string'(" vm.ibmreq.we:b"));
103 8 wfjm
        write(oline, string'(" vm.ibmreq.rmw:b"));
104 2 wfjm
        write(oline, string'(" vm.ibmreq.be0:b"));
105
        write(oline, string'(" vm.ibmreq.be1:b"));
106
        write(oline, string'(" vm.ibmreq.cacc:b"));
107
        write(oline, string'(" vm.ibmreq.racc:b"));
108
        write(oline, string'(" vm.ibmreq.addr:o"));
109
        write(oline, string'(" vm.ibmreq.din:o"));
110
        write(oline, string'(" vm.ibsres.ack:b"));
111
        write(oline, string'(" vm.ibsres.busy:b"));
112
        write(oline, string'(" vm.ibsres.dout:o"));
113
 
114
        write(oline, string'(" co.cpugo:b"));
115
        write(oline, string'(" co.cpuhalt:b"));
116
 
117
        write(oline, string'(" sy.emmreq.req:b"));
118
        write(oline, string'(" sy.emmreq.we:b"));
119
        write(oline, string'(" sy.emmreq.be:b"));
120
        write(oline, string'(" sy.emmreq.cancel:b"));
121
        write(oline, string'(" sy.emmreq.addr:o"));
122
        write(oline, string'(" sy.emmreq.din:o"));
123
        write(oline, string'(" sy.emsres.ack_r:b"));
124
        write(oline, string'(" sy.emsres.ack_w:b"));
125
        write(oline, string'(" sy.emsres.dout:o"));
126
        write(oline, string'(" sy.chit:b"));
127
 
128
        writeline(ofile, oline);
129
      end if;
130
 
131
      ipsw := (others=>'0');
132
      ipsw(psw_ibf_cmode) := DM_STAT_DP.psw.cmode;
133
      ipsw(psw_ibf_pmode) := DM_STAT_DP.psw.pmode;
134
      ipsw(psw_ibf_rset)  := DM_STAT_DP.psw.rset;
135
      ipsw(psw_ibf_pri)   := DM_STAT_DP.psw.pri;
136
      ipsw(psw_ibf_tflag) := DM_STAT_DP.psw.tflag;
137
      ipsw(psw_ibf_cc)    := DM_STAT_DP.psw.cc;
138
 
139
      ibaddr := "1110000000000000";
140
      ibaddr(DM_STAT_VM.ibmreq.addr'range) := DM_STAT_VM.ibmreq.addr;
141
 
142
      emaddr := (others=>'0');
143
      emaddr(DM_STAT_SY.emmreq.addr'range) := DM_STAT_SY.emmreq.addr;
144
 
145
      wcycle := false;
146
      if dp_ireg_we_last='1' or
147
         DM_STAT_DP.gpr_we='1' or
148
         DM_STAT_SY.emmreq.req='1' or
149
         DM_STAT_SY.emsres.ack_r='1' or
150
         DM_STAT_SY.emsres.ack_w='1' or
151
         DM_STAT_SY.emmreq.cancel='1' or
152 8 wfjm
         DM_STAT_VM.ibmreq.re='1' or
153
         DM_STAT_VM.ibmreq.we='1' or
154 2 wfjm
         DM_STAT_VM.ibsres.ack='1'
155
      then
156
        wcycle := true;
157
      end if;
158
 
159
      if DM_STAT_VM.ibsres.busy='0' and
160
         (vm_ibsres_busy_last='1' and vm_ibsres_ack_last='0')
161
      then
162
        wcycle := true;
163
      end if;
164
 
165
      if ENA = '0' then                 -- if not enabled
166
        wcycle := false;                -- force to not logged...
167
      end if;
168
 
169
      if wcycle then
170
        write(oline, conv_integer(unsigned(SB_CLKCYCLE)), right, 9);
171
        write(oline, string'(" 0"));
172
        writeoct(oline, DM_STAT_DP.pc,   right, 7);
173
        writeoct(oline, ipsw, right, 7);
174
        writeoct(oline, DM_STAT_DP.ireg, right, 7);
175
        write(oline,    DM_STAT_DP.ireg_we, right, 2);
176
        write(oline,    dp_ireg_we_last, right, 2);
177
        writeoct(oline, DM_STAT_DP.dsrc, right, 7);
178
        writeoct(oline, DM_STAT_DP.ddst, right, 7);
179
        writeoct(oline, DM_STAT_DP.dtmp, right, 7);
180
        writeoct(oline, DM_STAT_DP.dres, right, 7);
181
        writeoct(oline, DM_STAT_DP.gpr_adst, right, 2);
182
        writeoct(oline, DM_STAT_DP.gpr_mode, right, 2);
183
        write(oline, DM_STAT_DP.gpr_bytop, right, 2);
184
        write(oline, DM_STAT_DP.gpr_we, right, 2);
185
 
186 8 wfjm
        write(oline,    DM_STAT_VM.ibmreq.aval, right, 2);
187
        write(oline,    DM_STAT_VM.ibmreq.re, right, 2);
188 2 wfjm
        write(oline,    DM_STAT_VM.ibmreq.we, right, 2);
189 8 wfjm
        write(oline,    DM_STAT_VM.ibmreq.rmw, right, 2);
190 2 wfjm
        write(oline,    DM_STAT_VM.ibmreq.be0, right, 2);
191
        write(oline,    DM_STAT_VM.ibmreq.be1, right, 2);
192
        write(oline,    DM_STAT_VM.ibmreq.cacc, right, 2);
193
        write(oline,    DM_STAT_VM.ibmreq.racc, right, 2);
194
        writeoct(oline, ibaddr, right, 7);
195
        writeoct(oline, DM_STAT_VM.ibmreq.din, right, 7);
196
        write(oline,    DM_STAT_VM.ibsres.ack, right, 2);
197
        write(oline,    DM_STAT_VM.ibsres.busy, right, 2);
198
        writeoct(oline, DM_STAT_VM.ibsres.dout, right, 7);
199
 
200
        write(oline,    DM_STAT_CO.cpugo, right, 2);
201
        write(oline,    DM_STAT_CO.cpuhalt, right, 2);
202
 
203
        write(oline,    DM_STAT_SY.emmreq.req, right, 2);
204
        write(oline,    DM_STAT_SY.emmreq.we, right, 2);
205
        write(oline,    DM_STAT_SY.emmreq.be, right, 3);
206
        write(oline,    DM_STAT_SY.emmreq.cancel, right, 2);
207
        writeoct(oline, emaddr, right, 9);
208
        writeoct(oline, DM_STAT_SY.emmreq.din, right, 7);
209
        write(oline,    DM_STAT_SY.emsres.ack_r, right, 2);
210
        write(oline,    DM_STAT_SY.emsres.ack_w, right, 2);
211
        writeoct(oline, DM_STAT_SY.emsres.dout, right, 7);
212
        write(oline,    DM_STAT_SY.chit, right, 2);
213
 
214
        writeline(ofile, oline);
215
      end if;
216
 
217
      dp_ireg_we_last     := DM_STAT_DP.ireg_we;
218
      vm_ibsres_busy_last := DM_STAT_VM.ibsres.busy;
219
      vm_ibsres_ack_last  := DM_STAT_VM.ibsres.ack;
220
 
221
    end if;
222
 
223
  end process proc_tm;
224
 
225
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.