OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.61/] [tools/] [tcl/] [rlink/] [util.tcl] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 wfjm
# $Id: util.tcl 403 2011-08-06 17:36:22Z mueller $
2 10 wfjm
#
3
# Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
#
5
# This program is free software; you may redistribute and/or modify it under
6
# the terms of the GNU General Public License as published by the Free
7
# Software Foundation, either version 2, or at your option any later version.
8
#
9
# This program is distributed in the hope that it will be useful, but
10
# WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
# or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
# for complete details.
13
#
14
#  Revision History:
15
# Date         Rev Version  Comment
16 12 wfjm
# 2011-08-06   403   1.0.1  add SINT and SINIT defs for serport init
17 10 wfjm
# 2011-03-26   373   1.0    Initial version
18
# 2011-03-19   372   0.1    First draft
19
#
20
 
21
package provide rlink 1.0
22
 
23
package require rutil 1.0
24
 
25
namespace eval rlink {
26 12 wfjm
  regdsc STAT  {stat 7 3} {attn 4} {cerr 3} {derr 2} {rbnak 1} {rberr 0}
27
  regdsc INIT  {anena 15} {itoena 14} {itoval 7 8}
28
  regdsc SINIT {fena 12} {fwidth 11 3} {fdelay 8 3} {rtsoff 5 3} {rtson 2 3}
29 10 wfjm
  #
30
  # 'pseudo register', describes 3rd word in return list element for -rlist
31
  regdsc FLAGS {vol 16} \
32
    {chkdata 13} {chkstat 12} \
33
    {errcrc 11} {errcmd 10}  {errmiss 9} {errnak 8} \
34
    {resend 7} {recov 6} {pktend 5} {pktbeg 4} \
35
    {done 2} {send 1} {init 0}
36
 
37
  variable IINT 0x00ff
38 12 wfjm
  variable SINT 0x00fe
39 10 wfjm
 
40
  #
41 12 wfjm
  # init: reset rlink: disable enables; clear attn register
42 10 wfjm
  #
43
  proc init {} {
44
    rlc exec \
45
      -init $rlink::IINT 0x0000 \
46 12 wfjm
      -init $rlink::SINT [regbld rlink::SINIT {rtsoff 7} {rtson 6} ] \
47 10 wfjm
      -attn
48
    return ""
49
  }
50
  #
51
  # isopen: returns 1 if open and 0 if close
52
  #
53
  proc isopen {} {
54
    if {[rlc open] eq ""} { return 0 }
55
    return 1
56
  }
57
  #
58
  # isfifo: returns 1 if open and fifo, 0 otherwise
59
  #
60
  proc isfifo {} {
61
    set name [rlc open]
62
    if {$name ne "" && [regexp -- {^fifo:} $name]} { return 1 }
63
    return 0
64
  }
65
  #
66
  # issim: returns 1 if open and in simulation mode, 0 otherwise
67
  #
68
  proc issim {} {
69
    if {![info exists rlink::sim_mode]} { return 0}
70
    return $rlink::sim_mode
71
  }
72
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.