OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [bplib/] [basys3/] [basys3_pins.xdc] - Blame information for rev 29

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 wfjm
# -*- tcl -*-
2
# $Id: basys3_pins.xdc 640 2015-02-01 09:56:53Z mueller $
3
#
4
# Copyright 2015- by Walter F.J. Mueller 
5
# License disclaimer see LICENSE_gpl_v2.txt in $RETROBASE directory
6
#
7
# Pin locks for Basys 3 core functionality
8
#  - USB UART
9
#  - human I/O (switches, buttons, leds, display)
10
#
11
# Revision History:
12
# Date         Rev Version  Comment
13
# 2015-01-30   640   1.0    Initial version
14
#
15
 
16
# config setup --------------------------------------------------------------
17
set_property CFGBVS         VCCO [current_design]
18
set_property CONFIG_VOLTAGE  3.3 [current_design]
19
 
20
# clocks -- in bank 34 ------------------------------------------------------
21
set_property PACKAGE_PIN w5  [get_ports {I_CLK100}]
22
set_property IOSTANDARD LVCMOS33 [get_ports {I_CLK100}]
23
 
24
#
25
# USB UART Interface -- in bank 16 ------------------------------------------
26
set_property PACKAGE_PIN b18 [get_ports {I_RXD}]
27
set_property PACKAGE_PIN a18 [get_ports {O_TXD}]
28
 
29
set_property IOSTANDARD LVCMOS33 [get_ports {I_RXD O_TXD}]
30
set_property DRIVE 12   [get_ports {O_TXD}]
31
set_property SLEW SLOW  [get_ports {O_TXD}]
32
 
33
#
34
# switches -- in bank 14+34 -------------------------------------------------
35
set_property PACKAGE_PIN v17 [get_ports {I_SWI[0]}]
36
set_property PACKAGE_PIN v16 [get_ports {I_SWI[1]}]
37
set_property PACKAGE_PIN w16 [get_ports {I_SWI[2]}]
38
set_property PACKAGE_PIN w17 [get_ports {I_SWI[3]}]
39
set_property PACKAGE_PIN w15 [get_ports {I_SWI[4]}]
40
set_property PACKAGE_PIN v15 [get_ports {I_SWI[5]}]
41
set_property PACKAGE_PIN w14 [get_ports {I_SWI[6]}]
42
set_property PACKAGE_PIN w13 [get_ports {I_SWI[7]}]
43
set_property PACKAGE_PIN v2  [get_ports {I_SWI[8]}]
44
set_property PACKAGE_PIN t3  [get_ports {I_SWI[9]}]
45
set_property PACKAGE_PIN t2  [get_ports {I_SWI[10]}]
46
set_property PACKAGE_PIN r3  [get_ports {I_SWI[11]}]
47
set_property PACKAGE_PIN w2  [get_ports {I_SWI[12]}]
48
set_property PACKAGE_PIN u1  [get_ports {I_SWI[13]}]
49
set_property PACKAGE_PIN t1  [get_ports {I_SWI[14]}]
50
set_property PACKAGE_PIN r2  [get_ports {I_SWI[15]}]
51
 
52
set_property IOSTANDARD LVCMOS33 [get_ports {I_SWI[*]}]
53
 
54
#
55
# buttons -- in bank 14 -----------------------------------------------------
56
#   sequence: clockwise(U-R-D-L) - middle - reset
57
set_property PACKAGE_PIN t18 [get_ports {I_BTN[0]}]
58
set_property PACKAGE_PIN t17 [get_ports {I_BTN[1]}]
59
set_property PACKAGE_PIN u17 [get_ports {I_BTN[2]}]
60
set_property PACKAGE_PIN w19 [get_ports {I_BTN[3]}]
61
set_property PACKAGE_PIN u18 [get_ports {I_BTN[4]}]
62
 
63
set_property IOSTANDARD LVCMOS33 [get_ports {I_BTN[*]}]
64
 
65
#
66
# LEDs -- in bank 14+34+35 --------------------------------------------------
67
set_property PACKAGE_PIN u16 [get_ports {O_LED[0]}]
68
set_property PACKAGE_PIN e19 [get_ports {O_LED[1]}]
69
set_property PACKAGE_PIN u19 [get_ports {O_LED[2]}]
70
set_property PACKAGE_PIN v19 [get_ports {O_LED[3]}]
71
set_property PACKAGE_PIN w18 [get_ports {O_LED[4]}]
72
set_property PACKAGE_PIN u15 [get_ports {O_LED[5]}]
73
set_property PACKAGE_PIN u14 [get_ports {O_LED[6]}]
74
set_property PACKAGE_PIN v14 [get_ports {O_LED[7]}]
75
set_property PACKAGE_PIN v13 [get_ports {O_LED[8]}]
76
set_property PACKAGE_PIN v3  [get_ports {O_LED[9]}]
77
set_property PACKAGE_PIN w3  [get_ports {O_LED[10]}]
78
set_property PACKAGE_PIN u3  [get_ports {O_LED[11]}]
79
set_property PACKAGE_PIN p3  [get_ports {O_LED[12]}]
80
set_property PACKAGE_PIN n3  [get_ports {O_LED[13]}]
81
set_property PACKAGE_PIN p1  [get_ports {O_LED[14]}]
82
set_property PACKAGE_PIN l1  [get_ports {O_LED[15]}]
83
 
84
set_property IOSTANDARD LVCMOS33 [get_ports {O_LED[*]}]
85
set_property DRIVE 12            [get_ports {O_LED[*]}]
86
set_property SLEW SLOW           [get_ports {O_LED[*]}]
87
 
88
#
89
# 7 segment display -- in bank 34 -------------------------------------------
90
set_property PACKAGE_PIN u2  [get_ports {O_ANO_N[0]}]
91
set_property PACKAGE_PIN u4  [get_ports {O_ANO_N[1]}]
92
set_property PACKAGE_PIN v4  [get_ports {O_ANO_N[2]}]
93
set_property PACKAGE_PIN w4  [get_ports {O_ANO_N[3]}]
94
 
95
set_property IOSTANDARD LVCMOS33 [get_ports {O_ANO_N[*]}]
96
set_property DRIVE 12            [get_ports {O_ANO_N[*]}]
97
set_property SLEW SLOW           [get_ports {O_ANO_N[*]}]
98
#
99
set_property PACKAGE_PIN w7  [get_ports {O_SEG_N[0]}]
100
set_property PACKAGE_PIN w6  [get_ports {O_SEG_N[1]}]
101
set_property PACKAGE_PIN u8  [get_ports {O_SEG_N[2]}]
102
set_property PACKAGE_PIN v8  [get_ports {O_SEG_N[3]}]
103
set_property PACKAGE_PIN u5  [get_ports {O_SEG_N[4]}]
104
set_property PACKAGE_PIN v5  [get_ports {O_SEG_N[5]}]
105
set_property PACKAGE_PIN u7  [get_ports {O_SEG_N[6]}]
106
set_property PACKAGE_PIN v7  [get_ports {O_SEG_N[7]}]
107
 
108
set_property IOSTANDARD LVCMOS33 [get_ports {O_SEG_N[*]}]
109
set_property DRIVE 12            [get_ports {O_SEG_N[*]}]
110
set_property SLEW SLOW           [get_ports {O_SEG_N[*]}]
111
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.