OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [bplib/] [bpgen/] [bp_rs232_4line_iob.vhd] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 wfjm
-- $Id: bp_rs232_4line_iob.vhd 641 2015-02-01 22:12:15Z mueller $
2 2 wfjm
--
3 12 wfjm
-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15 12 wfjm
-- Module Name:    bp_rs232_4line_iob - syn
16
-- Description:    iob's for 4 line rs232 (RXD,TXD and RTS,CTS)
17 2 wfjm
--
18
-- Dependencies:   xlib/iob_reg_i
19
--                 xlib/iob_reg_o
20
--
21
-- Test bench:     -
22
--
23
-- Target Devices: generic
24 29 wfjm
-- Tool versions:  ise 11.4-14.7; viv 2014.4; ghdl 0.26-0.31
25 2 wfjm
--
26
-- Revision History: 
27
-- Date         Rev Version  Comment
28 12 wfjm
-- 2011-07-01   386   1.1    moved and renamed to bpgen
29
-- 2010-04-17   278   1.0    Initial version (as s3_rs232_iob_ext)
30 2 wfjm
------------------------------------------------------------------------------
31
--    
32
 
33
library ieee;
34
use ieee.std_logic_1164.all;
35
 
36
use work.slvtypes.all;
37
use work.xlib.all;
38
 
39
-- ----------------------------------------------------------------------------
40
 
41 12 wfjm
entity bp_rs232_4line_iob is            -- iob's for 4 line rs232 (w/ RTS,CTS)
42 2 wfjm
  port (
43
    CLK : in slbit;                     -- clock
44
    RXD : out slbit;                    -- receive data (board view)
45
    TXD : in slbit;                     -- transmit data (board view)
46
    CTS_N : out slbit;                  -- clear to send   (act. low)
47
    RTS_N : in slbit;                   -- request to send (act. low)
48
    I_RXD : in slbit;                   -- pad-i: receive data (board view)
49
    O_TXD : out slbit;                  -- pad-o: transmit data (board view)
50
    I_CTS_N : in slbit;                 -- pad-i: clear to send   (act. low)
51
    O_RTS_N : out slbit                 -- pad-o: request to send (act. low)
52
  );
53 12 wfjm
end bp_rs232_4line_iob;
54 2 wfjm
 
55 12 wfjm
architecture syn of bp_rs232_4line_iob is
56 2 wfjm
begin
57
 
58
  IOB_RXD : iob_reg_i                  -- line idle=1, so init sync flop =1
59
    generic map (INIT => '1')
60
    port map (CLK => CLK, CE => '1', DI => RXD,   PAD => I_RXD);
61
 
62
  IOB_TXD : iob_reg_o                  -- line idle=1, so init sync flop =1
63
    generic map (INIT => '1')
64
    port map (CLK => CLK, CE => '1', DO => TXD,   PAD => O_TXD);
65
 
66
  IOB_CTS : iob_reg_i
67
    port map (CLK => CLK, CE => '1', DI => CTS_N, PAD => I_CTS_N);
68
 
69
  IOB_RTS : iob_reg_o
70
    port map (CLK => CLK, CE => '1', DO => RTS_N, PAD => O_RTS_N);
71
 
72
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.