OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [bplib/] [bpgen/] [sn_humanio.vhd] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 wfjm
-- $Id: sn_humanio.vhd 410 2011-09-18 11:23:09Z mueller $
2 2 wfjm
--
3 12 wfjm
-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15 12 wfjm
-- Module Name:    sn_humanio - syn
16
-- Description:    All BTN, SWI, LED and DSP handling for s3board, nexys2/3
17 2 wfjm
--
18 12 wfjm
-- Dependencies:   xlib/iob_reg_o_gen
19
--                 bpgen/bp_swibtnled
20
--                 bpgen/sn_4x7segctl
21 2 wfjm
--
22
-- Test bench:     -
23
--
24
-- Target Devices: generic
25 13 wfjm
-- Tool versions:  xst 11.4, 12.1, 13.1; ghdl 0.26
26 2 wfjm
--
27
-- Synthesized (xst):
28
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
29 13 wfjm
-- 2011-09-17   409 13.1    O40d xc3s1000-4    49   86    0   53 s  5.3 ns 
30 12 wfjm
-- 2011-07-02   387 12.1    M53d xc3s1000-4    48   87    0   53 s  5.1 ns 
31
-- 2010-04-10   275 11.4    L68  xc3s1000-4    48   87    0   53 s  5.2 ns 
32 2 wfjm
--
33
-- Revision History: 
34
-- Date         Rev Version  Comment
35 12 wfjm
-- 2011-07-30   400   1.2.1  use CDWIDTH=7 for sn_4x7segctl (for 100 MHz)
36
-- 2011-07-08   390   1.2    renamed from s3_humanio, add BWIDTH generic
37
-- 2011-07-02   387   1.1.2  use bp_swibtnled
38 2 wfjm
-- 2010-04-17   278   1.1.1  rename dispdrv -> s3_dispdrv
39
-- 2010-04-11   276   1.1    instantiate BTN/SWI debouncers via DEBOUNCE generic
40
-- 2010-04-10   275   1.0    Initial version
41
------------------------------------------------------------------------------
42
--    
43
 
44
library ieee;
45
use ieee.std_logic_1164.all;
46
 
47
use work.slvtypes.all;
48
use work.xlib.all;
49 12 wfjm
use work.bpgenlib.all;
50 2 wfjm
 
51
-- ----------------------------------------------------------------------------
52
 
53 12 wfjm
entity sn_humanio is                    -- human i/o handling: swi,btn,led,dsp
54 2 wfjm
  generic (
55 12 wfjm
    BWIDTH : positive := 4;             -- BTN port width
56 2 wfjm
    DEBOUNCE : boolean := true);        -- instantiate debouncer for SWI,BTN
57
  port (
58
    CLK : in slbit;                     -- clock
59 12 wfjm
    RESET : in slbit := '0';            -- reset
60 2 wfjm
    CE_MSEC : in slbit;                 -- 1 ms clock enable
61
    SWI : out slv8;                     -- switch settings, debounced
62 12 wfjm
    BTN : out slv(BWIDTH-1 downto 0);   -- button settings, debounced
63 2 wfjm
    LED : in slv8;                      -- led data
64
    DSP_DAT : in slv16;                 -- display data
65
    DSP_DP : in slv4;                   -- display decimal points
66
    I_SWI : in slv8;                    -- pad-i: switches
67 12 wfjm
    I_BTN : in slv(BWIDTH-1 downto 0);  -- pad-i: buttons
68 2 wfjm
    O_LED : out slv8;                   -- pad-o: leds
69
    O_ANO_N : out slv4;                 -- pad-o: 7 seg disp: anodes   (act.low)
70
    O_SEG_N : out slv8                  -- pad-o: 7 seg disp: segments (act.low)
71
  );
72 12 wfjm
end sn_humanio;
73 2 wfjm
 
74 12 wfjm
architecture syn of sn_humanio is
75 2 wfjm
 
76
  signal N_ANO_N :  slv4 := (others=>'0');
77
  signal N_SEG_N :  slv8 := (others=>'0');
78
 
79
begin
80
 
81
  IOB_ANO_N : iob_reg_o_gen
82
    generic map (DWIDTH => 4)
83
    port map (CLK => CLK, CE => '1', DO => N_ANO_N, PAD => O_ANO_N);
84
 
85
  IOB_SEG_N : iob_reg_o_gen
86
    generic map (DWIDTH => 8)
87
    port map (CLK => CLK, CE => '1', DO => N_SEG_N, PAD => O_SEG_N);
88
 
89 12 wfjm
 HIO : bp_swibtnled
90
    generic map (
91
      SWIDTH   => 8,
92
      BWIDTH   => BWIDTH,
93
      LWIDTH   => 8,
94
      DEBOUNCE => DEBOUNCE)
95
    port map (
96
      CLK     => CLK,
97
      RESET   => RESET,
98
      CE_MSEC => CE_MSEC,
99
      SWI     => SWI,
100
      BTN     => BTN,
101
      LED     => LED,
102
      I_SWI   => I_SWI,
103
      I_BTN   => I_BTN,
104
      O_LED   => O_LED
105
    );
106 2 wfjm
 
107 12 wfjm
  DRV : sn_4x7segctl
108 2 wfjm
    generic map (
109 12 wfjm
      CDWIDTH => 7)                     -- 7 good for 100 MHz on nexys2
110 2 wfjm
    port map (
111
      CLK   => CLK,
112
      DIN   => DSP_DAT,
113
      DP    => DSP_DP,
114
      ANO_N => N_ANO_N,
115
      SEG_N => N_SEG_N
116
    );
117
 
118
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.