OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [bplib/] [fx2rlink/] [rlink_sp1c_fx2.vhd] - Blame information for rev 27

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 wfjm
-- $Id: rlink_sp1c_fx2.vhd 610 2014-12-09 22:44:43Z mueller $
2 20 wfjm
--
3 27 wfjm
-- Copyright 2013-2014 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 20 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
-- 
14
------------------------------------------------------------------------------
15
-- Module Name:    rlink_sp1c_fx2 - syn
16
-- Description:    rlink_core8 + serport_1clock + fx2 combo
17
--
18
-- Dependencies:   rlinklib/rlink_core8
19
--                 serport/serport_1clock
20
--                 rlinklib/rlink_rlbmux
21
--                 fx2lib/fx2_2fifoctl_ic
22
--
23
-- Test bench:     -
24
--
25
-- Target Devices: generic
26 27 wfjm
-- Tool versions:  xst 13.1-14.7; ghdl 0.29-0.31
27 20 wfjm
--
28
-- Synthesized (xst):
29
-- Date         Rev  ise         Target      flop lutl lutm slic t peri ifa ofa
30
-- 2013-04-20   509 13.3    O76d xc3s1200e-4  441  903  128  637 s  8.7   -   -
31
--
32
-- Revision History: 
33
-- Date         Rev Version  Comment
34 27 wfjm
-- 2014-08-28   588   1.1    use new rlink v4 iface generics and 4 bit STAT
35 20 wfjm
-- 2013-04-20   509   1.0    Initial version (derived from rlink_sp1c)
36
------------------------------------------------------------------------------
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
use work.slvtypes.all;
43
use work.rblib.all;
44
use work.rlinklib.all;
45
use work.serportlib.all;
46
use work.fx2lib.all;
47
 
48
entity rlink_sp1c_fx2 is                -- rlink_core8+serport_1clk+fx2_ic combo
49
  generic (
50 27 wfjm
    BTOWIDTH : positive :=  5;          -- rbus timeout counter width
51
    RTAWIDTH : positive := 12;          -- retransmit buffer address width
52
    SYSID : slv32 := (others=>'0');     -- rlink system id
53 20 wfjm
    IFAWIDTH : natural :=  5;           -- ser input fifo addr width  (0=none)
54
    OFAWIDTH : natural :=  5;           -- ser output fifo addr width (0=none)
55
    PETOWIDTH : positive := 10;         -- fx2 packet end time-out counter width
56
    CCWIDTH :   positive :=  5;         -- fx2 chunk counter width
57 27 wfjm
    ENAPIN_RLMON : integer := -1;       -- SB_CNTL for rlmon  (-1=none)
58
    ENAPIN_RLBMON: integer := -1;       -- SB_CNTL for rlbmon (-1=none)
59
    ENAPIN_RBMON : integer := -1;       -- SB_CNTL for rbmon  (-1=none)
60 20 wfjm
    CDWIDTH : positive := 13;           -- clk divider width
61
    CDINIT : natural   := 15);          -- clk divider initial/reset setting
62
  port (
63
    CLK  : in slbit;                    -- clock
64
    CE_USEC : in slbit;                 -- 1 usec clock enable
65
    CE_MSEC : in slbit;                 -- 1 msec clock enable
66 27 wfjm
    CE_INT : in slbit := '0';           -- rri ato time unit clock enable
67 20 wfjm
    RESET  : in slbit;                  -- reset
68
    ENAXON : in slbit;                  -- enable xon/xoff handling
69
    ENAESC : in slbit;                  -- enable xon/xoff escaping
70
    ENAFX2 : in slbit;                  -- enable fx2 usage
71
    RXSD : in slbit;                    -- receive serial data      (board view)
72
    TXSD : out slbit;                   -- transmit serial data     (board view)
73
    CTS_N : in slbit := '0';            -- clear to send   (act.low, board view)
74
    RTS_N : out slbit;                  -- request to send (act.low, board view)
75
    RB_MREQ : out rb_mreq_type;         -- rbus: request
76
    RB_SRES : in rb_sres_type;          -- rbus: response
77
    RB_LAM : in slv16;                  -- rbus: look at me
78 27 wfjm
    RB_STAT : in slv4;                  -- rbus: status flags
79 20 wfjm
    RL_MONI : out rl_moni_type;         -- rlink_core: monitor port
80
    RLB_MONI : out rlb_moni_type;       -- rlink 8b: monitor port
81
    SER_MONI : out serport_moni_type;   -- ser: monitor port
82
    FX2_MONI : out fx2ctl_moni_type;    -- fx2: monitor port
83
    I_FX2_IFCLK : in slbit;             -- fx2: interface clock
84
    O_FX2_FIFO : out slv2;              -- fx2: fifo address
85
    I_FX2_FLAG : in slv4;               -- fx2: fifo flags
86
    O_FX2_SLRD_N : out slbit;           -- fx2: read enable    (act.low)
87
    O_FX2_SLWR_N : out slbit;           -- fx2: write enable   (act.low)
88
    O_FX2_SLOE_N : out slbit;           -- fx2: output enable  (act.low)
89
    O_FX2_PKTEND_N : out slbit;         -- fx2: packet end     (act.low)
90
    IO_FX2_DATA : inout slv8            -- fx2: data lines
91
  );
92
end entity rlink_sp1c_fx2;
93
 
94
 
95
architecture syn of rlink_sp1c_fx2 is
96
 
97
  signal RLB_DI : slv8 := (others=>'0');
98
  signal RLB_ENA : slbit := '0';
99
  signal RLB_BUSY : slbit := '0';
100
  signal RLB_DO : slv8 := (others=>'0');
101
  signal RLB_VAL : slbit := '0';
102
  signal RLB_HOLD : slbit := '0';
103
 
104
  signal SER_RXDATA : slv8 := (others=>'0');
105
  signal SER_RXVAL  : slbit := '0';
106
  signal SER_RXHOLD : slbit := '0';
107
  signal SER_TXDATA : slv8 := (others=>'0');
108
  signal SER_TXENA  : slbit := '0';
109
  signal SER_TXBUSY : slbit := '0';
110
 
111
  signal FX2_RXDATA   : slv8 := (others=>'0');
112
  signal FX2_RXVAL    : slbit := '0';
113
  signal FX2_RXHOLD   : slbit := '0';
114
  signal FX2_RXAEMPTY : slbit := '0';
115
  signal FX2_TXDATA   : slv8 := (others=>'0');
116
  signal FX2_TXENA    : slbit := '0';
117
  signal FX2_TXBUSY   : slbit := '0';
118
  signal FX2_TXAFULL  : slbit := '0';
119
 
120
begin
121
 
122
  CORE : rlink_core8
123
    generic map (
124 27 wfjm
      BTOWIDTH     => BTOWIDTH,
125
      RTAWIDTH     => RTAWIDTH,
126
      SYSID        => SYSID,
127 20 wfjm
      ENAPIN_RLMON => ENAPIN_RLMON,
128 27 wfjm
      ENAPIN_RLBMON=> ENAPIN_RLBMON,
129 20 wfjm
      ENAPIN_RBMON => ENAPIN_RBMON)
130
    port map (
131
      CLK        => CLK,
132
      CE_INT     => CE_INT,
133
      RESET      => RESET,
134
      RLB_DI     => RLB_DI,
135
      RLB_ENA    => RLB_ENA,
136
      RLB_BUSY   => RLB_BUSY,
137
      RLB_DO     => RLB_DO,
138
      RLB_VAL    => RLB_VAL,
139
      RLB_HOLD   => RLB_HOLD,
140
      RL_MONI    => RL_MONI,
141
      RB_MREQ    => RB_MREQ,
142
      RB_SRES    => RB_SRES,
143
      RB_LAM     => RB_LAM,
144
      RB_STAT    => RB_STAT
145
    );
146
 
147
  SERPORT : serport_1clock
148
    generic map (
149
      CDWIDTH   => CDWIDTH,
150
      CDINIT    => CDINIT,
151
      RXFAWIDTH => IFAWIDTH,
152
      TXFAWIDTH => OFAWIDTH)
153
    port map (
154
      CLK      => CLK,
155
      CE_MSEC  => CE_MSEC,
156
      RESET    => RESET,
157
      ENAXON   => ENAXON,
158
      ENAESC   => ENAESC,
159
      RXDATA   => SER_RXDATA,
160
      RXVAL    => SER_RXVAL,
161
      RXHOLD   => SER_RXHOLD,
162
      TXDATA   => SER_TXDATA,
163
      TXENA    => SER_TXENA,
164
      TXBUSY   => SER_TXBUSY,
165
      MONI     => SER_MONI,
166
      RXSD     => RXSD,
167
      TXSD     => TXSD,
168
      RXRTS_N  => RTS_N,
169
      TXCTS_N  => CTS_N
170
    );
171
 
172
  RLBMUX : rlink_rlbmux
173
    port map (
174
      SEL       => ENAFX2,
175
      RLB_DI    => RLB_DI,
176
      RLB_ENA   => RLB_ENA,
177
      RLB_BUSY  => RLB_BUSY,
178
      RLB_DO    => RLB_DO,
179
      RLB_VAL   => RLB_VAL,
180
      RLB_HOLD  => RLB_HOLD,
181
      P0_RXDATA => SER_RXDATA,
182
      P0_RXVAL  => SER_RXVAL,
183
      P0_RXHOLD => SER_RXHOLD,
184
      P0_TXDATA => SER_TXDATA,
185
      P0_TXENA  => SER_TXENA,
186
      P0_TXBUSY => SER_TXBUSY,
187
      P1_RXDATA => FX2_RXDATA,
188
      P1_RXVAL  => FX2_RXVAL,
189
      P1_RXHOLD => FX2_RXHOLD,
190
      P1_TXDATA => FX2_TXDATA,
191
      P1_TXENA  => FX2_TXENA,
192
      P1_TXBUSY => FX2_TXBUSY
193
    );
194
 
195
  FX2CNTL : fx2_2fifoctl_ic
196
    generic map (
197
      RXFAWIDTH  => 5,
198
      TXFAWIDTH  => 5,
199
      PETOWIDTH  => PETOWIDTH,
200
      CCWIDTH    => CCWIDTH,
201
      RXAEMPTY_THRES => 1,
202
      TXAFULL_THRES  => 1)
203
    port map (
204
      CLK      => CLK,
205
      RESET    => RESET,
206
      RXDATA   => FX2_RXDATA,
207
      RXVAL    => FX2_RXVAL,
208
      RXHOLD   => FX2_RXHOLD,
209
      RXAEMPTY => FX2_RXAEMPTY,
210
      TXDATA   => FX2_TXDATA,
211
      TXENA    => FX2_TXENA,
212
      TXBUSY   => FX2_TXBUSY,
213
      TXAFULL  => FX2_TXAFULL,
214
      MONI           => FX2_MONI,
215
      I_FX2_IFCLK    => I_FX2_IFCLK,
216
      O_FX2_FIFO     => O_FX2_FIFO,
217
      I_FX2_FLAG     => I_FX2_FLAG,
218
      O_FX2_SLRD_N   => O_FX2_SLRD_N,
219
      O_FX2_SLWR_N   => O_FX2_SLWR_N,
220
      O_FX2_SLOE_N   => O_FX2_SLOE_N,
221
      O_FX2_PKTEND_N => O_FX2_PKTEND_N,
222
      IO_FX2_DATA    => IO_FX2_DATA
223
    );
224
 
225
  RLB_MONI.rxval  <= RLB_VAL;
226
  RLB_MONI.rxhold <= RLB_HOLD;
227
  RLB_MONI.txena  <= RLB_ENA;
228
  RLB_MONI.txbusy <= RLB_BUSY;
229
 
230
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.