OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [bplib/] [nexys3/] [tb/] [tb_nexys3_fusp.vhd] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 wfjm
-- $Id: tb_nexys3_fusp.vhd 666 2015-04-12 21:17:54Z mueller $
2 15 wfjm
--
3 30 wfjm
-- Copyright 2011-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 15 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
-- 
14
------------------------------------------------------------------------------
15
-- Module Name:    tb_nexys3_fusp - sim
16
-- Description:    Test bench for nexys3 (base+fusp)
17
--
18 17 wfjm
-- Dependencies:   simlib/simclk
19
--                 simlib/simclkcnt
20 22 wfjm
--                 xlib/s6_cmt_sfs
21 17 wfjm
--                 rlink/tb/tbcore_rlink
22 15 wfjm
--                 tb_nexys3_core
23 30 wfjm
--                 serport/serport_master
24 15 wfjm
--                 nexys3_fusp_aif [UUT]
25
--
26
-- To test:        generic, any nexys3_fusp_aif target
27
--
28
-- Target Devices: generic
29 29 wfjm
-- Tool versions:  xst 13.1-14.7; ghdl 0.29-0.31
30 15 wfjm
--
31
-- Revision History: 
32
-- Date         Rev Version  Comment
33 30 wfjm
-- 2015-04-12   666   1.3    use serport_master instead of serport_uart_rxtx
34 22 wfjm
-- 2013-10-06   538   1.2    pll support, use clksys_vcodivide ect
35 17 wfjm
-- 2011-12-23   444   1.1    new system clock scheme, new tbcore_rlink iface
36 15 wfjm
-- 2011-11-25   432   1.0    Initial version (derived from tb_nexys2_fusp)
37
------------------------------------------------------------------------------
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.numeric_std.all;
42
use ieee.std_logic_textio.all;
43
use std.textio.all;
44
 
45
use work.slvtypes.all;
46
use work.rlinklib.all;
47
use work.rlinktblib.all;
48 19 wfjm
use work.serportlib.all;
49 15 wfjm
use work.xlib.all;
50
use work.nexys3lib.all;
51
use work.simlib.all;
52
use work.simbus.all;
53
use work.sys_conf.all;
54
 
55
entity tb_nexys3_fusp is
56
end tb_nexys3_fusp;
57
 
58
architecture sim of tb_nexys3_fusp is
59
 
60 17 wfjm
  signal CLKOSC : slbit := '0';         -- board clock (100 Mhz)
61
  signal CLKCOM : slbit := '0';         -- communication clock
62 15 wfjm
 
63 17 wfjm
  signal CLK_STOP : slbit := '0';
64
  signal CLKCOM_CYCLE : integer := 0;
65
 
66 15 wfjm
  signal RESET : slbit := '0';
67
  signal CLKDIV : slv2 := "00";         -- run with 1 clocks / bit !!
68
  signal RXDATA : slv8 := (others=>'0');
69
  signal RXVAL : slbit := '0';
70
  signal RXERR : slbit := '0';
71
  signal RXACT : slbit := '0';
72
  signal TXDATA : slv8 := (others=>'0');
73
  signal TXENA : slbit := '0';
74
  signal TXBUSY : slbit := '0';
75
 
76
  signal RX_HOLD : slbit := '0';
77
 
78
  signal I_RXD : slbit := '1';
79
  signal O_TXD : slbit := '1';
80
  signal I_SWI : slv8 := (others=>'0');
81
  signal I_BTN : slv5 := (others=>'0');
82
  signal O_LED : slv8 := (others=>'0');
83
  signal O_ANO_N : slv4 := (others=>'0');
84
  signal O_SEG_N : slv8 := (others=>'0');
85
 
86
  signal O_MEM_CE_N  : slbit := '1';
87
  signal O_MEM_BE_N  : slv2 := (others=>'1');
88
  signal O_MEM_WE_N  : slbit := '1';
89
  signal O_MEM_OE_N  : slbit := '1';
90
  signal O_MEM_ADV_N : slbit := '1';
91
  signal O_MEM_CLK   : slbit := '0';
92
  signal O_MEM_CRE   : slbit := '0';
93
  signal I_MEM_WAIT  : slbit := '0';
94
  signal O_MEM_ADDR  : slv23 := (others=>'Z');
95
  signal IO_MEM_DATA : slv16 := (others=>'0');
96
  signal O_PPCM_CE_N   : slbit := '0';
97
  signal O_PPCM_RST_N  : slbit := '0';
98
 
99
  signal O_FUSP_RTS_N : slbit := '0';
100
  signal I_FUSP_CTS_N : slbit := '0';
101
  signal I_FUSP_RXD : slbit := '1';
102
  signal O_FUSP_TXD : slbit := '1';
103
 
104
  signal UART_RESET : slbit := '0';
105
  signal UART_RXD : slbit := '1';
106
  signal UART_TXD : slbit := '1';
107
  signal CTS_N : slbit := '0';
108
  signal RTS_N : slbit := '0';
109
 
110 30 wfjm
  signal R_PORTSEL_SER : slbit := '0';       -- if 1 use alternate serport
111
  signal R_PORTSEL_XON : slbit := '0';       -- if 1 use xon/xoff
112 15 wfjm
 
113
  constant sbaddr_portsel: slv8 := slv(to_unsigned( 8,8));
114
 
115 17 wfjm
  constant clock_period : time :=  10 ns;
116
  constant clock_offset : time := 200 ns;
117 15 wfjm
 
118
begin
119
 
120 17 wfjm
  CLKGEN : simclk
121 15 wfjm
    generic map (
122 17 wfjm
      PERIOD => clock_period,
123
      OFFSET => clock_offset)
124 15 wfjm
    port map (
125 17 wfjm
      CLK      => CLKOSC,
126
      CLK_STOP => CLK_STOP
127 15 wfjm
    );
128 17 wfjm
 
129 22 wfjm
  CLKGEN_COM : s6_cmt_sfs
130 15 wfjm
    generic map (
131 22 wfjm
      VCO_DIVIDE   => sys_conf_clksys_vcodivide,
132
      VCO_MULTIPLY => sys_conf_clksys_vcomultiply,
133
      OUT_DIVIDE   => sys_conf_clksys_outdivide,
134
      CLKIN_PERIOD => 10.0,
135
      CLKIN_JITTER => 0.01,
136
      STARTUP_WAIT => false,
137
      GEN_TYPE     => sys_conf_clksys_gentype)
138 15 wfjm
    port map (
139
      CLKIN   => CLKOSC,
140 17 wfjm
      CLKFX   => CLKCOM,
141 15 wfjm
      LOCKED  => open
142
    );
143
 
144 17 wfjm
  CLKCNT : simclkcnt port map (CLK => CLKCOM, CLK_CYCLE => CLKCOM_CYCLE);
145
 
146
  TBCORE : tbcore_rlink
147
    port map (
148
      CLK      => CLKCOM,
149
      CLK_STOP => CLK_STOP,
150
      RX_DATA  => TXDATA,
151
      RX_VAL   => TXENA,
152
      RX_HOLD  => RX_HOLD,
153
      TX_DATA  => RXDATA,
154
      TX_ENA   => RXVAL
155
    );
156
 
157 15 wfjm
  RX_HOLD <= TXBUSY or RTS_N;           -- back preasure for data flow to tb
158
 
159
  N3CORE : entity work.tb_nexys3_core
160
    port map (
161
      I_SWI       => I_SWI,
162
      I_BTN       => I_BTN,
163
      O_MEM_CE_N  => O_MEM_CE_N,
164
      O_MEM_BE_N  => O_MEM_BE_N,
165
      O_MEM_WE_N  => O_MEM_WE_N,
166
      O_MEM_OE_N  => O_MEM_OE_N,
167
      O_MEM_ADV_N => O_MEM_ADV_N,
168
      O_MEM_CLK   => O_MEM_CLK,
169
      O_MEM_CRE   => O_MEM_CRE,
170
      I_MEM_WAIT  => I_MEM_WAIT,
171
      O_MEM_ADDR  => O_MEM_ADDR,
172
      IO_MEM_DATA => IO_MEM_DATA
173
    );
174
 
175
  UUT : nexys3_fusp_aif
176
    port map (
177
      I_CLK100     => CLKOSC,
178
      I_RXD        => I_RXD,
179
      O_TXD        => O_TXD,
180
      I_SWI        => I_SWI,
181
      I_BTN        => I_BTN,
182
      O_LED        => O_LED,
183
      O_ANO_N      => O_ANO_N,
184
      O_SEG_N      => O_SEG_N,
185
      O_MEM_CE_N   => O_MEM_CE_N,
186
      O_MEM_BE_N   => O_MEM_BE_N,
187
      O_MEM_WE_N   => O_MEM_WE_N,
188
      O_MEM_OE_N   => O_MEM_OE_N,
189
      O_MEM_ADV_N  => O_MEM_ADV_N,
190
      O_MEM_CLK    => O_MEM_CLK,
191
      O_MEM_CRE    => O_MEM_CRE,
192
      I_MEM_WAIT   => I_MEM_WAIT,
193
      O_MEM_ADDR   => O_MEM_ADDR,
194
      IO_MEM_DATA  => IO_MEM_DATA,
195
      O_PPCM_CE_N  => O_PPCM_CE_N,
196
      O_PPCM_RST_N => O_PPCM_RST_N,
197
      O_FUSP_RTS_N => O_FUSP_RTS_N,
198
      I_FUSP_CTS_N => I_FUSP_CTS_N,
199
      I_FUSP_RXD   => I_FUSP_RXD,
200
      O_FUSP_TXD   => O_FUSP_TXD
201
    );
202
 
203 30 wfjm
  SERMSTR : serport_master
204 15 wfjm
    generic map (
205
      CDWIDTH => CLKDIV'length)
206
    port map (
207 30 wfjm
      CLK     => CLKCOM,
208
      RESET   => UART_RESET,
209
      CLKDIV  => CLKDIV,
210
      ENAXON  => R_PORTSEL_XON,
211
      ENAESC  => '0',
212
      RXDATA  => RXDATA,
213
      RXVAL   => RXVAL,
214
      RXERR   => RXERR,
215
      RXOK    => '1',
216
      TXDATA  => TXDATA,
217
      TXENA   => TXENA,
218
      TXBUSY  => TXBUSY,
219
      RXSD    => UART_RXD,
220
      TXSD    => UART_TXD,
221
      RXRTS_N => RTS_N,
222
      TXCTS_N => CTS_N
223 15 wfjm
    );
224
 
225 30 wfjm
  proc_port_mux: process (R_PORTSEL_SER, UART_TXD, CTS_N,
226 15 wfjm
                          O_TXD, O_FUSP_TXD, O_FUSP_RTS_N)
227
  begin
228
 
229 30 wfjm
    if R_PORTSEL_SER = '0' then           -- use main board rs232, no flow cntl
230 15 wfjm
      I_RXD        <= UART_TXD;           -- write port 0 inputs
231
      UART_RXD     <= O_TXD;              -- get port 0 outputs
232
      RTS_N        <= '0';
233
      I_FUSP_RXD   <= '1';                -- port 1 inputs to idle state
234
      I_FUSP_CTS_N <= '0';
235
    else                                -- otherwise use pmod1 rs232
236
      I_FUSP_RXD   <= UART_TXD;           -- write port 1 inputs
237
      I_FUSP_CTS_N <= CTS_N;
238
      UART_RXD     <= O_FUSP_TXD;         -- get port 1 outputs
239
      RTS_N        <= O_FUSP_RTS_N;
240
      I_RXD        <= '1';                -- port 0 inputs to idle state
241
    end if;
242
 
243
  end process proc_port_mux;
244
 
245
  proc_moni: process
246
    variable oline : line;
247
  begin
248
 
249
    loop
250 17 wfjm
      wait until rising_edge(CLKCOM);
251 15 wfjm
 
252
      if RXERR = '1' then
253 17 wfjm
        writetimestamp(oline, CLKCOM_CYCLE, " : seen RXERR=1");
254 15 wfjm
        writeline(output, oline);
255
      end if;
256
 
257
    end loop;
258
 
259
  end process proc_moni;
260
 
261
  proc_simbus: process (SB_VAL)
262
  begin
263
    if SB_VAL'event and to_x01(SB_VAL)='1' then
264
      if SB_ADDR = sbaddr_portsel then
265 30 wfjm
        R_PORTSEL_SER <= to_x01(SB_DATA(0));
266
        R_PORTSEL_XON <= to_x01(SB_DATA(1));
267 15 wfjm
      end if;
268
    end if;
269
  end process proc_simbus;
270
 
271
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.