OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [bplib/] [nexys4/] [nexys4_pins.xdc] - Blame information for rev 29

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 wfjm
# -*- tcl -*-
2
# $Id: nexys4_pins.xdc 643 2015-02-07 17:41:53Z mueller $
3
#
4
# Pin locks for Nexys 4 core functionality
5
#  - USB UART
6
#  - human I/O (switches, buttons, leds, display)
7
#
8
# Revision History:
9
# Date         Rev Version  Comment
10
# 2015-02-06   643   1.3    factor out cram
11
# 2015-02-01   641   1.2    separate I_BTNRST_N
12
# 2015-01-31   640   1.1    fix RTS/CTS
13
# 2013-10-12   539   1.0    Initial version (converted from ucf)
14
#
15
 
16
# config setup --------------------------------------------------------------
17
set_property CFGBVS         VCCO [current_design]
18
set_property CONFIG_VOLTAGE  3.3 [current_design]
19
 
20
# clocks -- in bank 35 ------------------------------------------------------
21
set_property PACKAGE_PIN e3 [get_ports {I_CLK100}]
22
set_property IOSTANDARD LVCMOS33 [get_ports {I_CLK100}]
23
 
24
#
25
# USB UART Interface -- in bank 35 ------------------------------------------
26
set_property PACKAGE_PIN c4 [get_ports {I_RXD}]
27
set_property PACKAGE_PIN d4 [get_ports {O_TXD}]
28
set_property PACKAGE_PIN d3 [get_ports {O_RTS_N}]
29
set_property PACKAGE_PIN e5 [get_ports {I_CTS_N}]
30
 
31
set_property IOSTANDARD LVCMOS33 [get_ports {I_RXD O_TXD O_RTS_N I_CTS_N}]
32
set_property DRIVE 12   [get_ports {O_TXD O_RTS_N}]
33
set_property SLEW SLOW  [get_ports {O_TXD O_RTS_N}]
34
 
35
#
36
# switches -- in bank 34 ----------------------------------------------------
37
set_property PACKAGE_PIN u9 [get_ports {I_SWI[0]}]
38
set_property PACKAGE_PIN u8 [get_ports {I_SWI[1]}]
39
set_property PACKAGE_PIN r7 [get_ports {I_SWI[2]}]
40
set_property PACKAGE_PIN r6 [get_ports {I_SWI[3]}]
41
set_property PACKAGE_PIN r5 [get_ports {I_SWI[4]}]
42
set_property PACKAGE_PIN v7 [get_ports {I_SWI[5]}]
43
set_property PACKAGE_PIN v6 [get_ports {I_SWI[6]}]
44
set_property PACKAGE_PIN v5 [get_ports {I_SWI[7]}]
45
set_property PACKAGE_PIN u4 [get_ports {I_SWI[8]}]
46
set_property PACKAGE_PIN v2 [get_ports {I_SWI[9]}]
47
set_property PACKAGE_PIN u2 [get_ports {I_SWI[10]}]
48
set_property PACKAGE_PIN t3 [get_ports {I_SWI[11]}]
49
set_property PACKAGE_PIN t1 [get_ports {I_SWI[12]}]
50
set_property PACKAGE_PIN r3 [get_ports {I_SWI[13]}]
51
set_property PACKAGE_PIN p3 [get_ports {I_SWI[14]}]
52
set_property PACKAGE_PIN p4 [get_ports {I_SWI[15]}]
53
 
54
set_property IOSTANDARD LVCMOS33 [get_ports {I_SWI[*]}]
55
 
56
#
57
# buttons -- in bank 15+14 --------------------------------------------------
58
#   sequence: clockwise(U-R-D-L) - middle - reset
59
set_property PACKAGE_PIN f15 [get_ports {I_BTN[0]}]
60
set_property PACKAGE_PIN r10 [get_ports {I_BTN[1]}]
61
set_property PACKAGE_PIN v10 [get_ports {I_BTN[2]}]
62
set_property PACKAGE_PIN t16 [get_ports {I_BTN[3]}]
63
set_property PACKAGE_PIN e16 [get_ports {I_BTN[4]}]
64
set_property PACKAGE_PIN c12 [get_ports {I_BTNRST_N}]
65
 
66
set_property IOSTANDARD LVCMOS33 [get_ports {I_BTN[*]}]
67
set_property IOSTANDARD LVCMOS33 [get_ports {I_BTNRST_N}]
68
 
69
#
70
# LEDs -- in bank 34 --------------------------------------------------------
71
set_property PACKAGE_PIN t8 [get_ports {O_LED[0]}]
72
set_property PACKAGE_PIN v9 [get_ports {O_LED[1]}]
73
set_property PACKAGE_PIN r8 [get_ports {O_LED[2]}]
74
set_property PACKAGE_PIN t6 [get_ports {O_LED[3]}]
75
set_property PACKAGE_PIN t5 [get_ports {O_LED[4]}]
76
set_property PACKAGE_PIN t4 [get_ports {O_LED[5]}]
77
set_property PACKAGE_PIN u7 [get_ports {O_LED[6]}]
78
set_property PACKAGE_PIN u6 [get_ports {O_LED[7]}]
79
set_property PACKAGE_PIN v4 [get_ports {O_LED[8]}]
80
set_property PACKAGE_PIN u3 [get_ports {O_LED[9]}]
81
set_property PACKAGE_PIN v1 [get_ports {O_LED[10]}]
82
set_property PACKAGE_PIN r1 [get_ports {O_LED[11]}]
83
set_property PACKAGE_PIN p5 [get_ports {O_LED[12]}]
84
set_property PACKAGE_PIN u1 [get_ports {O_LED[13]}]
85
set_property PACKAGE_PIN r2 [get_ports {O_LED[14]}]
86
set_property PACKAGE_PIN p2 [get_ports {O_LED[15]}]
87
 
88
set_property IOSTANDARD LVCMOS33 [get_ports {O_LED[*]}]
89
set_property DRIVE 12            [get_ports {O_LED[*]}]
90
set_property SLEW SLOW           [get_ports {O_LED[*]}]
91
 
92
#
93
# RGB-LEDs -- in bank 15+34+35 ----------------------------------------------
94
set_property PACKAGE_PIN k5  [get_ports {O_RGBLED0[0]}]
95
set_property PACKAGE_PIN f13 [get_ports {O_RGBLED0[1]}]
96
set_property PACKAGE_PIN f6  [get_ports {O_RGBLED0[2]}]
97
set_property PACKAGE_PIN k6  [get_ports {O_RGBLED1[0]}]
98
set_property PACKAGE_PIN h6  [get_ports {O_RGBLED1[1]}]
99
set_property PACKAGE_PIN l16 [get_ports {O_RGBLED1[2]}]
100
 
101
set_property IOSTANDARD LVCMOS33 [get_ports {O_RGBLED0[*] O_RGBLED1[*]}]
102
set_property DRIVE 12            [get_ports {O_RGBLED0[*] O_RGBLED1[*]}]
103
set_property SLEW SLOW           [get_ports {O_RGBLED0[*] O_RGBLED1[*]}]
104
 
105
#
106
# 7 segment display -- in bank 34 -------------------------------------------
107
set_property PACKAGE_PIN n6 [get_ports {O_ANO_N[0]}]
108
set_property PACKAGE_PIN m6 [get_ports {O_ANO_N[1]}]
109
set_property PACKAGE_PIN m3 [get_ports {O_ANO_N[2]}]
110
set_property PACKAGE_PIN n5 [get_ports {O_ANO_N[3]}]
111
set_property PACKAGE_PIN n2 [get_ports {O_ANO_N[4]}]
112
set_property PACKAGE_PIN n4 [get_ports {O_ANO_N[5]}]
113
set_property PACKAGE_PIN l1 [get_ports {O_ANO_N[6]}]
114
set_property PACKAGE_PIN m1 [get_ports {O_ANO_N[7]}]
115
 
116
set_property IOSTANDARD LVCMOS33 [get_ports {O_ANO_N[*]}]
117
set_property DRIVE 12            [get_ports {O_ANO_N[*]}]
118
set_property SLEW SLOW           [get_ports {O_ANO_N[*]}]
119
#
120
set_property PACKAGE_PIN l3 [get_ports {O_SEG_N[0]}]
121
set_property PACKAGE_PIN n1 [get_ports {O_SEG_N[1]}]
122
set_property PACKAGE_PIN l5 [get_ports {O_SEG_N[2]}]
123
set_property PACKAGE_PIN l4 [get_ports {O_SEG_N[3]}]
124
set_property PACKAGE_PIN k3 [get_ports {O_SEG_N[4]}]
125
set_property PACKAGE_PIN m2 [get_ports {O_SEG_N[5]}]
126
set_property PACKAGE_PIN l6 [get_ports {O_SEG_N[6]}]
127
set_property PACKAGE_PIN m4 [get_ports {O_SEG_N[7]}]
128
 
129
set_property IOSTANDARD LVCMOS33 [get_ports {O_SEG_N[*]}]
130
set_property DRIVE 12            [get_ports {O_SEG_N[*]}]
131
set_property SLEW SLOW           [get_ports {O_SEG_N[*]}]
132
#

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.