OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [bplib/] [nexys4/] [tb/] [tb_nexys4.vhd] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 wfjm
-- $Id: tb_nexys4.vhd 666 2015-04-12 21:17:54Z mueller $
2 29 wfjm
--
3
-- Copyright 2013-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
-- 
14
------------------------------------------------------------------------------
15
-- Module Name:    tb_nexys4 - sim
16
-- Description:    Test bench for nexys4 (base)
17
--
18
-- Dependencies:   simlib/simclk
19
--                 simlib/simclkcnt
20
--                 rlink/tb/tbcore_rlink
21
--                 xlib/s7_cmt_sfs
22
--                 tb_nexys4_core
23 30 wfjm
--                 serport/serport_master
24 29 wfjm
--                 nexys4_aif [UUT]
25
--
26
-- To test:        generic, any nexys4_aif target
27
--
28
-- Target Devices: generic
29
-- Tool versions:  ise 14.5-14.7; viv 2014.4; ghdl 0.29-0.31
30
--
31
-- Revision History: 
32
-- Date         Rev Version  Comment
33 30 wfjm
-- 2015-04-12   666   1.3    use serport_master instead of serport_uart_rxtx
34 29 wfjm
-- 2015-02-06   643   1.2    factor out memory
35
-- 2015-02-01   641   1.1    separate I_BTNRST_N
36
-- 2013-09-28   535   1.0.1  use proper clock manager
37
-- 2013-09-21   534   1.0    Initial version (derived from tb_nexys3)
38
------------------------------------------------------------------------------
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
use ieee.numeric_std.all;
43
use ieee.std_logic_textio.all;
44
use std.textio.all;
45
 
46
use work.slvtypes.all;
47
use work.rlinklib.all;
48
use work.rlinktblib.all;
49
use work.serportlib.all;
50
use work.xlib.all;
51
use work.nexys4lib.all;
52
use work.simlib.all;
53
use work.simbus.all;
54
use work.sys_conf.all;
55
 
56
entity tb_nexys4 is
57
end tb_nexys4;
58
 
59
architecture sim of tb_nexys4 is
60
 
61
  signal CLKOSC : slbit := '0';         -- board clock (100 Mhz)
62
  signal CLKCOM : slbit := '0';         -- communication clock
63
 
64
  signal CLK_STOP : slbit := '0';
65
  signal CLKCOM_CYCLE : integer := 0;
66
 
67
  signal RESET : slbit := '0';
68
  signal CLKDIV : slv2 := "00";         -- run with 1 clocks / bit !!
69
  signal RXDATA : slv8 := (others=>'0');
70
  signal RXVAL : slbit := '0';
71
  signal RXERR : slbit := '0';
72
  signal RXACT : slbit := '0';
73
  signal TXDATA : slv8 := (others=>'0');
74
  signal TXENA : slbit := '0';
75
  signal TXBUSY : slbit := '0';
76
 
77
  signal I_RXD : slbit := '1';
78
  signal O_TXD : slbit := '1';
79
  signal O_RTS_N : slbit := '0';
80
  signal I_CTS_N : slbit := '0';
81
  signal I_SWI : slv16 := (others=>'0');
82
  signal I_BTN : slv5 := (others=>'0');
83
  signal I_BTNRST_N : slbit := '1';
84
  signal O_LED : slv16 := (others=>'0');
85
  signal O_RGBLED0 : slv3 := (others=>'0');
86
  signal O_RGBLED1 : slv3 := (others=>'0');
87
  signal O_ANO_N : slv8 := (others=>'0');
88
  signal O_SEG_N : slv8 := (others=>'0');
89
 
90 30 wfjm
  signal R_PORTSEL_XON : slbit := '0';       -- if 1 use xon/xoff
91
 
92
  constant sbaddr_portsel: slv8 := slv(to_unsigned( 8,8));
93
 
94 29 wfjm
  constant clock_period : time :=  10 ns;
95
  constant clock_offset : time := 200 ns;
96
 
97
begin
98
 
99
  CLKGEN : simclk
100
    generic map (
101
      PERIOD => clock_period,
102
      OFFSET => clock_offset)
103
    port map (
104
      CLK      => CLKOSC,
105
      CLK_STOP => CLK_STOP
106
    );
107
 
108
  CLKGEN_COM : s7_cmt_sfs
109
    generic map (
110
      VCO_DIVIDE   => sys_conf_clkser_vcodivide,
111
      VCO_MULTIPLY => sys_conf_clkser_vcomultiply,
112
      OUT_DIVIDE   => sys_conf_clkser_outdivide,
113
      CLKIN_PERIOD => 10.0,
114
      CLKIN_JITTER => 0.01,
115
      STARTUP_WAIT => false,
116
      GEN_TYPE     => sys_conf_clksys_gentype)
117
    port map (
118
      CLKIN   => CLKOSC,
119
      CLKFX   => CLKCOM,
120
      LOCKED  => open
121
    );
122
 
123
  CLKCNT : simclkcnt port map (CLK => CLKCOM, CLK_CYCLE => CLKCOM_CYCLE);
124
 
125
  TBCORE : tbcore_rlink
126
    port map (
127
      CLK      => CLKCOM,
128
      CLK_STOP => CLK_STOP,
129
      RX_DATA  => TXDATA,
130
      RX_VAL   => TXENA,
131
      RX_HOLD  => TXBUSY,
132
      TX_DATA  => RXDATA,
133
      TX_ENA   => RXVAL
134
    );
135
 
136
  N4CORE : entity work.tb_nexys4_core
137
    port map (
138
      I_SWI       => I_SWI,
139
      I_BTN       => I_BTN,
140
      I_BTNRST_N  => I_BTNRST_N
141
    );
142
 
143
  UUT : nexys4_aif
144
    port map (
145
      I_CLK100    => CLKOSC,
146
      I_RXD       => I_RXD,
147
      O_TXD       => O_TXD,
148
      O_RTS_N     => O_RTS_N,
149
      I_CTS_N     => I_CTS_N,
150
      I_SWI       => I_SWI,
151
      I_BTN       => I_BTN,
152
      I_BTNRST_N  => I_BTNRST_N,
153
      O_LED       => O_LED,
154
      O_RGBLED0   => O_RGBLED0,
155
      O_RGBLED1   => O_RGBLED1,
156
      O_ANO_N     => O_ANO_N,
157
      O_SEG_N     => O_SEG_N
158
    );
159
 
160 30 wfjm
  SERMSTR : serport_master
161 29 wfjm
    generic map (
162
      CDWIDTH => CLKDIV'length)
163
    port map (
164 30 wfjm
      CLK     => CLKCOM,
165
      RESET   => RESET,
166
      CLKDIV  => CLKDIV,
167
      ENAXON  => R_PORTSEL_XON,
168
      ENAESC  => '0',
169
      RXDATA  => RXDATA,
170
      RXVAL   => RXVAL,
171
      RXERR   => RXERR,
172
      RXOK    => '1',
173
      TXDATA  => TXDATA,
174
      TXENA   => TXENA,
175
      TXBUSY  => TXBUSY,
176
      RXSD    => O_TXD,
177
      TXSD    => I_RXD,
178
      RXRTS_N => I_CTS_N,
179
      TXCTS_N => O_RTS_N
180 29 wfjm
    );
181
 
182
  proc_moni: process
183
    variable oline : line;
184
  begin
185
 
186
    loop
187
      wait until rising_edge(CLKCOM);
188
 
189
      if RXERR = '1' then
190
        writetimestamp(oline, CLKCOM_CYCLE, " : seen RXERR=1");
191
        writeline(output, oline);
192
      end if;
193
 
194
    end loop;
195
 
196
  end process proc_moni;
197
 
198 30 wfjm
  proc_simbus: process (SB_VAL)
199
  begin
200
    if SB_VAL'event and to_x01(SB_VAL)='1' then
201
      if SB_ADDR = sbaddr_portsel then
202
        R_PORTSEL_XON <= to_x01(SB_DATA(1));
203
      end if;
204
    end if;
205
  end process proc_simbus;
206
 
207 29 wfjm
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.