OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [ibus/] [ibdr_maxisys.vhd] - Blame information for rev 30

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 wfjm
-- $Id: ibdr_maxisys.vhd 679 2015-05-13 17:38:46Z mueller $
2 2 wfjm
--
3 29 wfjm
-- Copyright 2009-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    ibdr_maxisys - syn
16
-- Description:    ibus(rem) devices for full system
17
--
18
-- Dependencies:   ibd_iist
19
--                 ibd_kw11l
20 30 wfjm
--                 ibdr_rhrp
21 25 wfjm
--                 ibdr_rl11
22 2 wfjm
--                 ibdr_rk11
23
--                 ibdr_dl11
24
--                 ibdr_pc11
25
--                 ibdr_lp11
26
--                 ibdr_sdreg
27
--                 ib_sres_or_4
28
--                 ib_sres_or_3
29
--                 ib_intmap
30
-- Test bench:     -
31
-- Target Devices: generic
32 29 wfjm
-- Tool versions:  ise 8.2-14.7; viv 2014.4; ghdl 0.18-0.31
33 8 wfjm
--
34
-- Synthesized (xst):
35
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
36 30 wfjm
-- 2015-04-06   664 14.7  131013 xc6slx16-2   559 1068   29  410 s  9.1 +RHRP
37 29 wfjm
-- 2015-01-04   630 14.7  131013 xc6slx16-2   388  761   20  265 s  8.0 +RL11
38 25 wfjm
-- 2014-06-08   560 14.7  131013 xc6slx16-2   311  615    8  216 s  7.1
39 9 wfjm
-- 2010-10-17   333 12.1    M53d xc3s1000-4   312 1058   16  617 s 10.3
40
-- 2010-10-17   314 12.1    M53d xc3s1000-4   300 1094   16  626 s 10.4
41 8 wfjm
--
42 2 wfjm
-- Revision History: 
43
-- Date         Rev Version  Comment
44 30 wfjm
-- 2015-05-10   678   1.3    start/stop/suspend overhaul
45
-- 2015-04-06   664   1.2.3  rename RPRM to RHRP
46
-- 2015-03-14   658   1.2.2  add RPRM; rearrange intmap (+rhrp,tm11,-kw11-l)
47
--                           use sys_conf, make most devices configurable
48 29 wfjm
-- 2015-01-04   630   1.2.1  RL11 back in
49 25 wfjm
-- 2014-06-27   565   1.2.1  temporarily hide RL11
50 30 wfjm
-- 2014-06-08   561   1.2    add RL11
51 13 wfjm
-- 2011-11-18   427   1.1.2  now numeric_std clean
52 29 wfjm
-- 2010-10-23   335   1.1.1  rename RRI_LAM->RB_LAM
53 2 wfjm
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
54
-- 2009-07-12   233   1.0.4  reorder ports; add RESET, CE_USEC to _dl11
55 29 wfjm
-- 2009-06-20   227   1.0.3  rename generate labels
56 2 wfjm
-- 2009-06-07   224   1.0.2  add iist_mreq and iist_sres interfaces
57
-- 2009-06-01   221   1.0.1  add CE_USEC; add RESET to kw11l; add _pc11, _iist
58
-- 2009-05-24   219   1.0    Initial version
59
------------------------------------------------------------------------------
60
-- 
61
-- 
62
-- full system setup
63
--
64
-- ibbase  vec  pri  slot attn  sror device name
65 30 wfjm
--
66
-- 172540  104   ?7    17    -  1/1  KW11-P
67
-- 177500  260    6 15 16    -  1/2  IIST
68
-- 177546  100    6 14 15    -  1/3  KW11-L
69 2 wfjm
-- 174510  120    5    14    9  1/4  DEUNA
70 30 wfjm
-- 176700  254    5 13 13    6  2/1  RHRP
71
-- 174400  160    5 12 12    5  2/2  RL11
72
-- 177400  220    5 11 11    4  2/3  RK11
73
-- 172520  224    5 10 10    7  2/4  TM11
74 2 wfjm
-- 160100  310?   5  9  9    3  3/1  DZ11-RX
75
--         314?   5  8  8    ^       DZ11-TX
76
-- 177560  060    4  7  7    1  3/2  DL11-RX  1st
77
--         064    4  6  6    ^       DL11-TX  1st
78
-- 176500  300    4  5  5    2  3/3  DL11-RX  2nd
79
--         304    4  4  4    ^       DL11-TX  2nd
80
-- 177550  070    4  3  3   10  4/1  PC11/PTR
81
--         074    4  2  2    ^       PC11/PTP
82
-- 177514  200    4  1  1    8  4/2  LP11
83
-- 177570    -    -     -    -  4/3  sdreg
84
-- 
85
 
86
library ieee;
87
use ieee.std_logic_1164.all;
88 13 wfjm
use ieee.numeric_std.all;
89 2 wfjm
 
90
use work.slvtypes.all;
91
use work.iblib.all;
92
use work.ibdlib.all;
93 30 wfjm
use work.sys_conf.all;
94 2 wfjm
 
95
-- ----------------------------------------------------------------------------
96
entity ibdr_maxisys is                  -- ibus(rem) full system
97
  port (
98
    CLK : in slbit;                     -- clock
99
    CE_USEC : in slbit;                 -- usec pulse
100
    CE_MSEC : in slbit;                 -- msec pulse
101
    RESET : in slbit;                   -- reset
102
    BRESET : in slbit;                  -- ibus reset
103 30 wfjm
    ITIMER : in slbit;                  -- instruction timer
104
    CPUSUSP : in slbit;                 -- cpu suspended
105 8 wfjm
    RB_LAM : out slv16_1;               -- remote attention vector
106 2 wfjm
    IB_MREQ : in ib_mreq_type;          -- ibus request
107
    IB_SRES : out ib_sres_type;         -- ibus response
108
    EI_ACKM : in slbit;                 -- interrupt acknowledge (from master)
109
    EI_PRI : out slv3;                  -- interrupt priority (to cpu)
110
    EI_VECT : out slv9_2;               -- interrupt vector   (to cpu)
111
    DISPREG : out slv16                 -- display register
112
  );
113
end ibdr_maxisys;
114
 
115
architecture syn of ibdr_maxisys is
116
 
117
  constant conf_intmap : intmap_array_type :=
118 30 wfjm
    ((8#260#,6),                        -- line 15  IIST
119
     (8#100#,6),                        -- line 14  KW11-L
120
     (8#254#,5),                        -- line 13  RHRP
121
     (8#160#,5),                        -- line 12  RL11
122
     (8#220#,5),                        -- line 11  RK11
123
     (8#224#,5),                        -- line 10  TM11
124 2 wfjm
     (8#310#,5),                        -- line  9  DZ11-RX
125
     (8#314#,5),                        -- line  8  DZ11-TX
126
     (8#060#,4),                        -- line  7  DL11-RX 1st
127
     (8#064#,4),                        -- line  6  DL11-TX 1st
128
     (8#300#,4),                        -- line  5  DL11-RX 2nd
129
     (8#304#,4),                        -- line  4  DL11-TX 2nd
130
     (8#070#,4),                        -- line  3  PC11-PTR
131
     (8#074#,4),                        -- line  2  PC11-PTP
132
     (8#200#,4),                        -- line  1  LP11
133 30 wfjm
     intmap_init                        -- line  0  (must be unused!)
134 2 wfjm
     );
135
 
136 8 wfjm
  signal RB_LAM_DENUA  : slbit := '0';
137 30 wfjm
  signal RB_LAM_RHRP   : slbit := '0';
138 8 wfjm
  signal RB_LAM_RL11   : slbit := '0';
139
  signal RB_LAM_RK11   : slbit := '0';
140
  signal RB_LAM_TM11   : slbit := '0';
141
  signal RB_LAM_DZ11   : slbit := '0';
142
  signal RB_LAM_DL11_0 : slbit := '0';
143
  signal RB_LAM_DL11_1 : slbit := '0';
144
  signal RB_LAM_PC11   : slbit := '0';
145
  signal RB_LAM_LP11   : slbit := '0';
146 2 wfjm
 
147
  signal IB_SRES_IIST   : ib_sres_type := ib_sres_init;
148
  signal IB_SRES_KW11P  : ib_sres_type := ib_sres_init;
149
  signal IB_SRES_KW11L  : ib_sres_type := ib_sres_init;
150
  signal IB_SRES_DEUNA  : ib_sres_type := ib_sres_init;
151 30 wfjm
  signal IB_SRES_RHRP   : ib_sres_type := ib_sres_init;
152 2 wfjm
  signal IB_SRES_RL11   : ib_sres_type := ib_sres_init;
153
  signal IB_SRES_RK11   : ib_sres_type := ib_sres_init;
154
  signal IB_SRES_TM11   : ib_sres_type := ib_sres_init;
155
  signal IB_SRES_DZ11   : ib_sres_type := ib_sres_init;
156
  signal IB_SRES_DL11_0 : ib_sres_type := ib_sres_init;
157
  signal IB_SRES_DL11_1 : ib_sres_type := ib_sres_init;
158
  signal IB_SRES_PC11   : ib_sres_type := ib_sres_init;
159
  signal IB_SRES_LP11   : ib_sres_type := ib_sres_init;
160
  signal IB_SRES_SDREG  : ib_sres_type := ib_sres_init;
161
 
162
  signal IB_SRES_1      : ib_sres_type := ib_sres_init;
163
  signal IB_SRES_2      : ib_sres_type := ib_sres_init;
164
  signal IB_SRES_3      : ib_sres_type := ib_sres_init;
165
  signal IB_SRES_4      : ib_sres_type := ib_sres_init;
166
 
167
  signal EI_REQ  : slv16_1 := (others=>'0');
168
  signal EI_ACK  : slv16_1 := (others=>'0');
169
 
170
  signal EI_REQ_IIST     : slbit := '0';
171
  signal EI_REQ_KW11P    : slbit := '0';
172
  signal EI_REQ_KW11L    : slbit := '0';
173
  signal EI_REQ_DEUNA    : slbit := '0';
174 30 wfjm
  signal EI_REQ_RHRP     : slbit := '0';
175 2 wfjm
  signal EI_REQ_RL11     : slbit := '0';
176
  signal EI_REQ_RK11     : slbit := '0';
177
  signal EI_REQ_TM11     : slbit := '0';
178
  signal EI_REQ_DZ11RX   : slbit := '0';
179
  signal EI_REQ_DZ11TX   : slbit := '0';
180
  signal EI_REQ_DL11RX_0 : slbit := '0';
181
  signal EI_REQ_DL11TX_0 : slbit := '0';
182
  signal EI_REQ_DL11RX_1 : slbit := '0';
183
  signal EI_REQ_DL11TX_1 : slbit := '0';
184
  signal EI_REQ_PC11PTR  : slbit := '0';
185
  signal EI_REQ_PC11PTP  : slbit := '0';
186
  signal EI_REQ_LP11     : slbit := '0';
187
 
188
  signal EI_ACK_IIST     : slbit := '0';
189
  signal EI_ACK_KW11P    : slbit := '0';
190
  signal EI_ACK_KW11L    : slbit := '0';
191
  signal EI_ACK_DEUNA    : slbit := '0';
192 30 wfjm
  signal EI_ACK_RHRP     : slbit := '0';
193 2 wfjm
  signal EI_ACK_RL11     : slbit := '0';
194
  signal EI_ACK_RK11     : slbit := '0';
195
  signal EI_ACK_TM11     : slbit := '0';
196
  signal EI_ACK_DZ11RX   : slbit := '0';
197
  signal EI_ACK_DZ11TX   : slbit := '0';
198
  signal EI_ACK_DL11RX_0 : slbit := '0';
199
  signal EI_ACK_DL11TX_0 : slbit := '0';
200
  signal EI_ACK_DL11RX_1 : slbit := '0';
201
  signal EI_ACK_DL11TX_1 : slbit := '0';
202
  signal EI_ACK_PC11PTR  : slbit := '0';
203
  signal EI_ACK_PC11PTP  : slbit := '0';
204
  signal EI_ACK_LP11     : slbit := '0';
205
 
206
  signal IIST_BUS        : iist_bus_type := iist_bus_init;
207
  signal IIST_OUT_0      : iist_line_type := iist_line_init;
208
  signal IIST_MREQ       : iist_mreq_type := iist_mreq_init;
209
  signal IIST_SRES       : iist_sres_type := iist_sres_init;
210
 
211
begin
212
 
213 30 wfjm
  IIST: if sys_conf_ibd_iist generate
214 2 wfjm
  begin
215
    I0 : ibd_iist
216
      port map (
217
        CLK       => CLK,
218
        CE_USEC   => CE_USEC,
219
        RESET     => RESET,
220
        BRESET    => BRESET,
221
        IB_MREQ   => IB_MREQ,
222
        IB_SRES   => IB_SRES_IIST,
223
        EI_REQ    => EI_REQ_IIST,
224
        EI_ACK    => EI_ACK_IIST,
225
        IIST_BUS  => IIST_BUS,
226
        IIST_OUT  => IIST_OUT_0,
227
        IIST_MREQ => IIST_MREQ,
228
        IIST_SRES => IIST_SRES
229
      );
230
 
231
    IIST_BUS(0) <= IIST_OUT_0;
232
    IIST_BUS(1) <= iist_line_init;
233
    IIST_BUS(2) <= iist_line_init;
234
    IIST_BUS(3) <= iist_line_init;
235
 
236
  end generate IIST;
237
 
238
  KW11L : ibd_kw11l
239
    port map (
240
      CLK     => CLK,
241
      CE_MSEC => CE_MSEC,
242
      RESET   => RESET,
243
      BRESET  => BRESET,
244 30 wfjm
      CPUSUSP => CPUSUSP,
245 2 wfjm
      IB_MREQ => IB_MREQ,
246
      IB_SRES => IB_SRES_KW11L,
247
      EI_REQ  => EI_REQ_KW11L,
248
      EI_ACK  => EI_ACK_KW11L
249
    );
250
 
251 30 wfjm
  RHRP: if sys_conf_ibd_rhrp generate
252 29 wfjm
  begin
253 30 wfjm
    I0 : ibdr_rhrp
254
      port map (
255
        CLK     => CLK,
256
        CE_USEC => CE_USEC,
257
        BRESET  => BRESET,
258
        ITIMER  => ITIMER,
259
        RB_LAM  => RB_LAM_RHRP,
260
        IB_MREQ => IB_MREQ,
261
        IB_SRES => IB_SRES_RHRP,
262
        EI_REQ  => EI_REQ_RHRP,
263
        EI_ACK  => EI_ACK_RHRP
264
      );
265
  end generate RHRP;
266
 
267
  RL11: if sys_conf_ibd_rl11 generate
268
  begin
269 29 wfjm
    I0 : ibdr_rl11
270
      port map (
271
        CLK     => CLK,
272
        CE_MSEC => CE_MSEC,
273
        BRESET  => BRESET,
274
        RB_LAM  => RB_LAM_RL11,
275
        IB_MREQ => IB_MREQ,
276
        IB_SRES => IB_SRES_RL11,
277
        EI_REQ  => EI_REQ_RL11,
278
        EI_ACK  => EI_ACK_RL11
279
      );
280
  end generate RL11;
281 25 wfjm
 
282 30 wfjm
  RK11: if sys_conf_ibd_rk11 generate
283 2 wfjm
  begin
284
    I0 : ibdr_rk11
285
      port map (
286
        CLK     => CLK,
287
        CE_MSEC => CE_MSEC,
288
        BRESET  => BRESET,
289 8 wfjm
        RB_LAM  => RB_LAM_RK11,
290 2 wfjm
        IB_MREQ => IB_MREQ,
291
        IB_SRES => IB_SRES_RK11,
292
        EI_REQ  => EI_REQ_RK11,
293
        EI_ACK  => EI_ACK_RK11
294
      );
295
  end generate RK11;
296
 
297
  DL11_0 : ibdr_dl11
298
    port map (
299
      CLK       => CLK,
300
      CE_USEC   => CE_USEC,
301
      RESET     => RESET,
302
      BRESET    => BRESET,
303 8 wfjm
      RB_LAM    => RB_LAM_DL11_0,
304 2 wfjm
      IB_MREQ   => IB_MREQ,
305
      IB_SRES   => IB_SRES_DL11_0,
306
      EI_REQ_RX => EI_REQ_DL11RX_0,
307
      EI_REQ_TX => EI_REQ_DL11TX_0,
308
      EI_ACK_RX => EI_ACK_DL11RX_0,
309
      EI_ACK_TX => EI_ACK_DL11TX_0
310
    );
311
 
312 30 wfjm
  DL11_1: if sys_conf_ibd_dl11_1 generate
313 2 wfjm
  begin
314
    I0 : ibdr_dl11
315
      generic map (
316 13 wfjm
        IB_ADDR   => slv(to_unsigned(8#176500#,16)))
317 2 wfjm
      port map (
318
        CLK       => CLK,
319
        CE_USEC   => CE_USEC,
320
        RESET     => RESET,
321
        BRESET    => BRESET,
322 8 wfjm
        RB_LAM    => RB_LAM_DL11_1,
323 2 wfjm
        IB_MREQ   => IB_MREQ,
324
        IB_SRES   => IB_SRES_DL11_1,
325
        EI_REQ_RX => EI_REQ_DL11RX_1,
326
        EI_REQ_TX => EI_REQ_DL11TX_1,
327
        EI_ACK_RX => EI_ACK_DL11RX_1,
328
        EI_ACK_TX => EI_ACK_DL11TX_1
329
      );
330
  end generate DL11_1;
331
 
332 30 wfjm
  PC11: if sys_conf_ibd_pc11 generate
333 2 wfjm
  begin
334
    I0 : ibdr_pc11
335
      port map (
336
        CLK        => CLK,
337
        RESET      => RESET,
338
        BRESET     => BRESET,
339 8 wfjm
        RB_LAM     => RB_LAM_PC11,
340 2 wfjm
        IB_MREQ    => IB_MREQ,
341
        IB_SRES    => IB_SRES_PC11,
342
        EI_REQ_PTR => EI_REQ_PC11PTR,
343
        EI_REQ_PTP => EI_REQ_PC11PTP,
344
        EI_ACK_PTR => EI_ACK_PC11PTR,
345
        EI_ACK_PTP => EI_ACK_PC11PTP
346
      );
347
  end generate PC11;
348
 
349 30 wfjm
  LP11: if sys_conf_ibd_lp11 generate
350 2 wfjm
  begin
351
    I0 : ibdr_lp11
352
      port map (
353
        CLK     => CLK,
354
        RESET   => RESET,
355
        BRESET  => BRESET,
356 8 wfjm
        RB_LAM  => RB_LAM_LP11,
357 2 wfjm
        IB_MREQ => IB_MREQ,
358
        IB_SRES => IB_SRES_LP11,
359
        EI_REQ  => EI_REQ_LP11,
360
        EI_ACK  => EI_ACK_LP11
361
      );
362
  end generate LP11;
363
 
364
  SDREG : ibdr_sdreg
365
    port map (
366
      CLK     => CLK,
367
      RESET   => RESET,
368
      IB_MREQ => IB_MREQ,
369
      IB_SRES => IB_SRES_SDREG,
370
      DISPREG => DISPREG
371
    );
372
 
373
  SRES_OR_1 : ib_sres_or_4
374
    port map (
375
      IB_SRES_1  => IB_SRES_KW11P,
376
      IB_SRES_2  => IB_SRES_IIST,
377
      IB_SRES_3  => IB_SRES_KW11L,
378
      IB_SRES_4  => IB_SRES_DEUNA,
379
      IB_SRES_OR => IB_SRES_1
380
    );
381
 
382
  SRES_OR_2 : ib_sres_or_4
383
    port map (
384 30 wfjm
      IB_SRES_1  => IB_SRES_RHRP,
385 2 wfjm
      IB_SRES_2  => IB_SRES_RL11,
386
      IB_SRES_3  => IB_SRES_RK11,
387
      IB_SRES_4  => IB_SRES_TM11,
388
      IB_SRES_OR => IB_SRES_2
389
    );
390
 
391
  SRES_OR_3 : ib_sres_or_3
392
    port map (
393
      IB_SRES_1  => IB_SRES_DZ11,
394
      IB_SRES_2  => IB_SRES_DL11_0,
395
      IB_SRES_3  => IB_SRES_DL11_1,
396
      IB_SRES_OR => IB_SRES_3
397
    );
398
 
399
  SRES_OR_4 : ib_sres_or_3
400
    port map (
401
      IB_SRES_1  => IB_SRES_PC11,
402
      IB_SRES_2  => IB_SRES_LP11,
403
      IB_SRES_3  => IB_SRES_SDREG,
404
      IB_SRES_OR => IB_SRES_4
405
    );
406
 
407
  SRES_OR : ib_sres_or_4
408
    port map (
409
      IB_SRES_1  => IB_SRES_1,
410
      IB_SRES_2  => IB_SRES_2,
411
      IB_SRES_3  => IB_SRES_3,
412
      IB_SRES_4  => IB_SRES_4,
413
      IB_SRES_OR => IB_SRES
414
    );
415
 
416
  INTMAP : ib_intmap
417
    generic map (
418
      INTMAP => conf_intmap)
419
    port map (
420
      EI_REQ  => EI_REQ,
421
      EI_ACKM => EI_ACKM,
422
      EI_ACK  => EI_ACK,
423
      EI_PRI  => EI_PRI,
424
      EI_VECT => EI_VECT
425
    );
426
 
427 30 wfjm
  EI_REQ(15) <= EI_REQ_IIST;
428
  EI_REQ(14) <= EI_REQ_KW11L;
429
  EI_REQ(13) <= EI_REQ_RHRP;
430
  EI_REQ(12) <= EI_REQ_RL11;
431
  EI_REQ(11) <= EI_REQ_RK11;
432
  EI_REQ(10) <= EI_REQ_TM11;
433 2 wfjm
  EI_REQ( 9) <= EI_REQ_DZ11RX;
434
  EI_REQ( 8) <= EI_REQ_DZ11TX;
435
  EI_REQ( 7) <= EI_REQ_DL11RX_0;
436
  EI_REQ( 6) <= EI_REQ_DL11TX_0;
437
  EI_REQ( 5) <= EI_REQ_DL11RX_1;
438
  EI_REQ( 4) <= EI_REQ_DL11TX_1;
439
  EI_REQ( 3) <= EI_REQ_PC11PTR;
440
  EI_REQ( 2) <= EI_REQ_PC11PTP;
441
  EI_REQ( 1) <= EI_REQ_LP11;
442
 
443 30 wfjm
  EI_ACK_IIST     <= EI_ACK(15);
444
  EI_ACK_KW11L    <= EI_ACK(14);
445
  EI_ACK_RHRP     <= EI_ACK(13);
446
  EI_ACK_RL11     <= EI_ACK(12);
447
  EI_ACK_RK11     <= EI_ACK(11);
448
  EI_ACK_TM11     <= EI_ACK(10);
449 2 wfjm
  EI_ACK_DZ11RX   <= EI_ACK( 9);
450
  EI_ACK_DZ11TX   <= EI_ACK( 8);
451
  EI_ACK_DL11RX_0 <= EI_ACK( 7);
452
  EI_ACK_DL11TX_0 <= EI_ACK( 6);
453
  EI_ACK_DL11RX_1 <= EI_ACK( 5);
454
  EI_ACK_DL11TX_1 <= EI_ACK( 4);
455
  EI_ACK_PC11PTR  <= EI_ACK( 3);
456
  EI_ACK_PC11PTP  <= EI_ACK( 2);
457
  EI_ACK_LP11     <= EI_ACK( 1);
458
 
459 8 wfjm
  RB_LAM(15 downto 11) <= (others=>'0');
460
  RB_LAM(10) <= RB_LAM_PC11;
461
  RB_LAM( 9) <= RB_LAM_DENUA;
462
  RB_LAM( 8) <= RB_LAM_LP11;
463
  RB_LAM( 7) <= RB_LAM_TM11;
464 30 wfjm
  RB_LAM( 6) <= RB_LAM_RHRP;
465 8 wfjm
  RB_LAM( 5) <= RB_LAM_RL11;
466
  RB_LAM( 4) <= RB_LAM_RK11;
467
  RB_LAM( 3) <= RB_LAM_DZ11;
468
  RB_LAM( 2) <= RB_LAM_DL11_1;
469
  RB_LAM( 1) <= RB_LAM_DL11_0;
470 2 wfjm
 
471
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.