OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [ibus/] [ibdr_maxisys.vhd] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 31 wfjm
-- $Id: ibdr_maxisys.vhd 683 2015-05-17 21:54:35Z mueller $
2 2 wfjm
--
3 29 wfjm
-- Copyright 2009-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    ibdr_maxisys - syn
16
-- Description:    ibus(rem) devices for full system
17
--
18
-- Dependencies:   ibd_iist
19
--                 ibd_kw11l
20 30 wfjm
--                 ibdr_rhrp
21 25 wfjm
--                 ibdr_rl11
22 2 wfjm
--                 ibdr_rk11
23 31 wfjm
--                 ibdr_tm11
24 2 wfjm
--                 ibdr_dl11
25
--                 ibdr_pc11
26
--                 ibdr_lp11
27
--                 ibdr_sdreg
28
--                 ib_sres_or_4
29
--                 ib_sres_or_3
30
--                 ib_intmap
31
-- Test bench:     -
32
-- Target Devices: generic
33 29 wfjm
-- Tool versions:  ise 8.2-14.7; viv 2014.4; ghdl 0.18-0.31
34 8 wfjm
--
35
-- Synthesized (xst):
36
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
37 30 wfjm
-- 2015-04-06   664 14.7  131013 xc6slx16-2   559 1068   29  410 s  9.1 +RHRP
38 29 wfjm
-- 2015-01-04   630 14.7  131013 xc6slx16-2   388  761   20  265 s  8.0 +RL11
39 25 wfjm
-- 2014-06-08   560 14.7  131013 xc6slx16-2   311  615    8  216 s  7.1
40 9 wfjm
-- 2010-10-17   333 12.1    M53d xc3s1000-4   312 1058   16  617 s 10.3
41
-- 2010-10-17   314 12.1    M53d xc3s1000-4   300 1094   16  626 s 10.4
42 8 wfjm
--
43 2 wfjm
-- Revision History: 
44
-- Date         Rev Version  Comment
45 31 wfjm
-- 2015-05-15   683   1.3.1  add TM11
46 30 wfjm
-- 2015-05-10   678   1.3    start/stop/suspend overhaul
47
-- 2015-04-06   664   1.2.3  rename RPRM to RHRP
48
-- 2015-03-14   658   1.2.2  add RPRM; rearrange intmap (+rhrp,tm11,-kw11-l)
49
--                           use sys_conf, make most devices configurable
50 29 wfjm
-- 2015-01-04   630   1.2.1  RL11 back in
51 25 wfjm
-- 2014-06-27   565   1.2.1  temporarily hide RL11
52 30 wfjm
-- 2014-06-08   561   1.2    add RL11
53 13 wfjm
-- 2011-11-18   427   1.1.2  now numeric_std clean
54 29 wfjm
-- 2010-10-23   335   1.1.1  rename RRI_LAM->RB_LAM
55 2 wfjm
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
56
-- 2009-07-12   233   1.0.4  reorder ports; add RESET, CE_USEC to _dl11
57 29 wfjm
-- 2009-06-20   227   1.0.3  rename generate labels
58 2 wfjm
-- 2009-06-07   224   1.0.2  add iist_mreq and iist_sres interfaces
59
-- 2009-06-01   221   1.0.1  add CE_USEC; add RESET to kw11l; add _pc11, _iist
60
-- 2009-05-24   219   1.0    Initial version
61
------------------------------------------------------------------------------
62
-- 
63
-- 
64
-- full system setup
65
--
66
-- ibbase  vec  pri  slot attn  sror device name
67 30 wfjm
--
68
-- 172540  104   ?7    17    -  1/1  KW11-P
69
-- 177500  260    6 15 16    -  1/2  IIST
70
-- 177546  100    6 14 15    -  1/3  KW11-L
71 2 wfjm
-- 174510  120    5    14    9  1/4  DEUNA
72 30 wfjm
-- 176700  254    5 13 13    6  2/1  RHRP
73
-- 174400  160    5 12 12    5  2/2  RL11
74
-- 177400  220    5 11 11    4  2/3  RK11
75
-- 172520  224    5 10 10    7  2/4  TM11
76 2 wfjm
-- 160100  310?   5  9  9    3  3/1  DZ11-RX
77
--         314?   5  8  8    ^       DZ11-TX
78
-- 177560  060    4  7  7    1  3/2  DL11-RX  1st
79
--         064    4  6  6    ^       DL11-TX  1st
80
-- 176500  300    4  5  5    2  3/3  DL11-RX  2nd
81
--         304    4  4  4    ^       DL11-TX  2nd
82
-- 177550  070    4  3  3   10  4/1  PC11/PTR
83
--         074    4  2  2    ^       PC11/PTP
84
-- 177514  200    4  1  1    8  4/2  LP11
85
-- 177570    -    -     -    -  4/3  sdreg
86
-- 
87
 
88
library ieee;
89
use ieee.std_logic_1164.all;
90 13 wfjm
use ieee.numeric_std.all;
91 2 wfjm
 
92
use work.slvtypes.all;
93
use work.iblib.all;
94
use work.ibdlib.all;
95 30 wfjm
use work.sys_conf.all;
96 2 wfjm
 
97
-- ----------------------------------------------------------------------------
98
entity ibdr_maxisys is                  -- ibus(rem) full system
99
  port (
100
    CLK : in slbit;                     -- clock
101
    CE_USEC : in slbit;                 -- usec pulse
102
    CE_MSEC : in slbit;                 -- msec pulse
103
    RESET : in slbit;                   -- reset
104
    BRESET : in slbit;                  -- ibus reset
105 30 wfjm
    ITIMER : in slbit;                  -- instruction timer
106
    CPUSUSP : in slbit;                 -- cpu suspended
107 8 wfjm
    RB_LAM : out slv16_1;               -- remote attention vector
108 2 wfjm
    IB_MREQ : in ib_mreq_type;          -- ibus request
109
    IB_SRES : out ib_sres_type;         -- ibus response
110
    EI_ACKM : in slbit;                 -- interrupt acknowledge (from master)
111
    EI_PRI : out slv3;                  -- interrupt priority (to cpu)
112
    EI_VECT : out slv9_2;               -- interrupt vector   (to cpu)
113
    DISPREG : out slv16                 -- display register
114
  );
115
end ibdr_maxisys;
116
 
117
architecture syn of ibdr_maxisys is
118
 
119
  constant conf_intmap : intmap_array_type :=
120 30 wfjm
    ((8#260#,6),                        -- line 15  IIST
121
     (8#100#,6),                        -- line 14  KW11-L
122
     (8#254#,5),                        -- line 13  RHRP
123
     (8#160#,5),                        -- line 12  RL11
124
     (8#220#,5),                        -- line 11  RK11
125
     (8#224#,5),                        -- line 10  TM11
126 2 wfjm
     (8#310#,5),                        -- line  9  DZ11-RX
127
     (8#314#,5),                        -- line  8  DZ11-TX
128
     (8#060#,4),                        -- line  7  DL11-RX 1st
129
     (8#064#,4),                        -- line  6  DL11-TX 1st
130
     (8#300#,4),                        -- line  5  DL11-RX 2nd
131
     (8#304#,4),                        -- line  4  DL11-TX 2nd
132
     (8#070#,4),                        -- line  3  PC11-PTR
133
     (8#074#,4),                        -- line  2  PC11-PTP
134
     (8#200#,4),                        -- line  1  LP11
135 30 wfjm
     intmap_init                        -- line  0  (must be unused!)
136 2 wfjm
     );
137
 
138 8 wfjm
  signal RB_LAM_DENUA  : slbit := '0';
139 30 wfjm
  signal RB_LAM_RHRP   : slbit := '0';
140 8 wfjm
  signal RB_LAM_RL11   : slbit := '0';
141
  signal RB_LAM_RK11   : slbit := '0';
142
  signal RB_LAM_TM11   : slbit := '0';
143
  signal RB_LAM_DZ11   : slbit := '0';
144
  signal RB_LAM_DL11_0 : slbit := '0';
145
  signal RB_LAM_DL11_1 : slbit := '0';
146
  signal RB_LAM_PC11   : slbit := '0';
147
  signal RB_LAM_LP11   : slbit := '0';
148 2 wfjm
 
149
  signal IB_SRES_IIST   : ib_sres_type := ib_sres_init;
150
  signal IB_SRES_KW11P  : ib_sres_type := ib_sres_init;
151
  signal IB_SRES_KW11L  : ib_sres_type := ib_sres_init;
152
  signal IB_SRES_DEUNA  : ib_sres_type := ib_sres_init;
153 30 wfjm
  signal IB_SRES_RHRP   : ib_sres_type := ib_sres_init;
154 2 wfjm
  signal IB_SRES_RL11   : ib_sres_type := ib_sres_init;
155
  signal IB_SRES_RK11   : ib_sres_type := ib_sres_init;
156
  signal IB_SRES_TM11   : ib_sres_type := ib_sres_init;
157
  signal IB_SRES_DZ11   : ib_sres_type := ib_sres_init;
158
  signal IB_SRES_DL11_0 : ib_sres_type := ib_sres_init;
159
  signal IB_SRES_DL11_1 : ib_sres_type := ib_sres_init;
160
  signal IB_SRES_PC11   : ib_sres_type := ib_sres_init;
161
  signal IB_SRES_LP11   : ib_sres_type := ib_sres_init;
162
  signal IB_SRES_SDREG  : ib_sres_type := ib_sres_init;
163
 
164
  signal IB_SRES_1      : ib_sres_type := ib_sres_init;
165
  signal IB_SRES_2      : ib_sres_type := ib_sres_init;
166
  signal IB_SRES_3      : ib_sres_type := ib_sres_init;
167
  signal IB_SRES_4      : ib_sres_type := ib_sres_init;
168
 
169
  signal EI_REQ  : slv16_1 := (others=>'0');
170
  signal EI_ACK  : slv16_1 := (others=>'0');
171
 
172
  signal EI_REQ_IIST     : slbit := '0';
173
  signal EI_REQ_KW11P    : slbit := '0';
174
  signal EI_REQ_KW11L    : slbit := '0';
175
  signal EI_REQ_DEUNA    : slbit := '0';
176 30 wfjm
  signal EI_REQ_RHRP     : slbit := '0';
177 2 wfjm
  signal EI_REQ_RL11     : slbit := '0';
178
  signal EI_REQ_RK11     : slbit := '0';
179
  signal EI_REQ_TM11     : slbit := '0';
180
  signal EI_REQ_DZ11RX   : slbit := '0';
181
  signal EI_REQ_DZ11TX   : slbit := '0';
182
  signal EI_REQ_DL11RX_0 : slbit := '0';
183
  signal EI_REQ_DL11TX_0 : slbit := '0';
184
  signal EI_REQ_DL11RX_1 : slbit := '0';
185
  signal EI_REQ_DL11TX_1 : slbit := '0';
186
  signal EI_REQ_PC11PTR  : slbit := '0';
187
  signal EI_REQ_PC11PTP  : slbit := '0';
188
  signal EI_REQ_LP11     : slbit := '0';
189
 
190
  signal EI_ACK_IIST     : slbit := '0';
191
  signal EI_ACK_KW11P    : slbit := '0';
192
  signal EI_ACK_KW11L    : slbit := '0';
193
  signal EI_ACK_DEUNA    : slbit := '0';
194 30 wfjm
  signal EI_ACK_RHRP     : slbit := '0';
195 2 wfjm
  signal EI_ACK_RL11     : slbit := '0';
196
  signal EI_ACK_RK11     : slbit := '0';
197
  signal EI_ACK_TM11     : slbit := '0';
198
  signal EI_ACK_DZ11RX   : slbit := '0';
199
  signal EI_ACK_DZ11TX   : slbit := '0';
200
  signal EI_ACK_DL11RX_0 : slbit := '0';
201
  signal EI_ACK_DL11TX_0 : slbit := '0';
202
  signal EI_ACK_DL11RX_1 : slbit := '0';
203
  signal EI_ACK_DL11TX_1 : slbit := '0';
204
  signal EI_ACK_PC11PTR  : slbit := '0';
205
  signal EI_ACK_PC11PTP  : slbit := '0';
206
  signal EI_ACK_LP11     : slbit := '0';
207
 
208
  signal IIST_BUS        : iist_bus_type := iist_bus_init;
209
  signal IIST_OUT_0      : iist_line_type := iist_line_init;
210
  signal IIST_MREQ       : iist_mreq_type := iist_mreq_init;
211
  signal IIST_SRES       : iist_sres_type := iist_sres_init;
212
 
213
begin
214
 
215 30 wfjm
  IIST: if sys_conf_ibd_iist generate
216 2 wfjm
  begin
217
    I0 : ibd_iist
218
      port map (
219
        CLK       => CLK,
220
        CE_USEC   => CE_USEC,
221
        RESET     => RESET,
222
        BRESET    => BRESET,
223
        IB_MREQ   => IB_MREQ,
224
        IB_SRES   => IB_SRES_IIST,
225
        EI_REQ    => EI_REQ_IIST,
226
        EI_ACK    => EI_ACK_IIST,
227
        IIST_BUS  => IIST_BUS,
228
        IIST_OUT  => IIST_OUT_0,
229
        IIST_MREQ => IIST_MREQ,
230
        IIST_SRES => IIST_SRES
231
      );
232
 
233
    IIST_BUS(0) <= IIST_OUT_0;
234
    IIST_BUS(1) <= iist_line_init;
235
    IIST_BUS(2) <= iist_line_init;
236
    IIST_BUS(3) <= iist_line_init;
237
 
238
  end generate IIST;
239
 
240
  KW11L : ibd_kw11l
241
    port map (
242
      CLK     => CLK,
243
      CE_MSEC => CE_MSEC,
244
      RESET   => RESET,
245
      BRESET  => BRESET,
246 30 wfjm
      CPUSUSP => CPUSUSP,
247 2 wfjm
      IB_MREQ => IB_MREQ,
248
      IB_SRES => IB_SRES_KW11L,
249
      EI_REQ  => EI_REQ_KW11L,
250
      EI_ACK  => EI_ACK_KW11L
251
    );
252
 
253 30 wfjm
  RHRP: if sys_conf_ibd_rhrp generate
254 29 wfjm
  begin
255 30 wfjm
    I0 : ibdr_rhrp
256
      port map (
257
        CLK     => CLK,
258
        CE_USEC => CE_USEC,
259
        BRESET  => BRESET,
260
        ITIMER  => ITIMER,
261
        RB_LAM  => RB_LAM_RHRP,
262
        IB_MREQ => IB_MREQ,
263
        IB_SRES => IB_SRES_RHRP,
264
        EI_REQ  => EI_REQ_RHRP,
265
        EI_ACK  => EI_ACK_RHRP
266
      );
267
  end generate RHRP;
268
 
269
  RL11: if sys_conf_ibd_rl11 generate
270
  begin
271 29 wfjm
    I0 : ibdr_rl11
272
      port map (
273
        CLK     => CLK,
274
        CE_MSEC => CE_MSEC,
275
        BRESET  => BRESET,
276
        RB_LAM  => RB_LAM_RL11,
277
        IB_MREQ => IB_MREQ,
278
        IB_SRES => IB_SRES_RL11,
279
        EI_REQ  => EI_REQ_RL11,
280
        EI_ACK  => EI_ACK_RL11
281
      );
282
  end generate RL11;
283 25 wfjm
 
284 30 wfjm
  RK11: if sys_conf_ibd_rk11 generate
285 2 wfjm
  begin
286
    I0 : ibdr_rk11
287
      port map (
288
        CLK     => CLK,
289
        CE_MSEC => CE_MSEC,
290
        BRESET  => BRESET,
291 8 wfjm
        RB_LAM  => RB_LAM_RK11,
292 2 wfjm
        IB_MREQ => IB_MREQ,
293
        IB_SRES => IB_SRES_RK11,
294
        EI_REQ  => EI_REQ_RK11,
295
        EI_ACK  => EI_ACK_RK11
296
      );
297
  end generate RK11;
298
 
299 31 wfjm
  TM11: if sys_conf_ibd_tm11 generate
300
  begin
301
    I0 : ibdr_tm11
302
      port map (
303
        CLK     => CLK,
304
        BRESET  => BRESET,
305
        RB_LAM  => RB_LAM_TM11,
306
        IB_MREQ => IB_MREQ,
307
        IB_SRES => IB_SRES_TM11,
308
        EI_REQ  => EI_REQ_TM11,
309
        EI_ACK  => EI_ACK_TM11
310
      );
311
  end generate TM11;
312
 
313 2 wfjm
  DL11_0 : ibdr_dl11
314
    port map (
315
      CLK       => CLK,
316
      CE_USEC   => CE_USEC,
317
      RESET     => RESET,
318
      BRESET    => BRESET,
319 8 wfjm
      RB_LAM    => RB_LAM_DL11_0,
320 2 wfjm
      IB_MREQ   => IB_MREQ,
321
      IB_SRES   => IB_SRES_DL11_0,
322
      EI_REQ_RX => EI_REQ_DL11RX_0,
323
      EI_REQ_TX => EI_REQ_DL11TX_0,
324
      EI_ACK_RX => EI_ACK_DL11RX_0,
325
      EI_ACK_TX => EI_ACK_DL11TX_0
326
    );
327
 
328 30 wfjm
  DL11_1: if sys_conf_ibd_dl11_1 generate
329 2 wfjm
  begin
330
    I0 : ibdr_dl11
331
      generic map (
332 13 wfjm
        IB_ADDR   => slv(to_unsigned(8#176500#,16)))
333 2 wfjm
      port map (
334
        CLK       => CLK,
335
        CE_USEC   => CE_USEC,
336
        RESET     => RESET,
337
        BRESET    => BRESET,
338 8 wfjm
        RB_LAM    => RB_LAM_DL11_1,
339 2 wfjm
        IB_MREQ   => IB_MREQ,
340
        IB_SRES   => IB_SRES_DL11_1,
341
        EI_REQ_RX => EI_REQ_DL11RX_1,
342
        EI_REQ_TX => EI_REQ_DL11TX_1,
343
        EI_ACK_RX => EI_ACK_DL11RX_1,
344
        EI_ACK_TX => EI_ACK_DL11TX_1
345
      );
346
  end generate DL11_1;
347
 
348 30 wfjm
  PC11: if sys_conf_ibd_pc11 generate
349 2 wfjm
  begin
350
    I0 : ibdr_pc11
351
      port map (
352
        CLK        => CLK,
353
        RESET      => RESET,
354
        BRESET     => BRESET,
355 8 wfjm
        RB_LAM     => RB_LAM_PC11,
356 2 wfjm
        IB_MREQ    => IB_MREQ,
357
        IB_SRES    => IB_SRES_PC11,
358
        EI_REQ_PTR => EI_REQ_PC11PTR,
359
        EI_REQ_PTP => EI_REQ_PC11PTP,
360
        EI_ACK_PTR => EI_ACK_PC11PTR,
361
        EI_ACK_PTP => EI_ACK_PC11PTP
362
      );
363
  end generate PC11;
364
 
365 30 wfjm
  LP11: if sys_conf_ibd_lp11 generate
366 2 wfjm
  begin
367
    I0 : ibdr_lp11
368
      port map (
369
        CLK     => CLK,
370
        RESET   => RESET,
371
        BRESET  => BRESET,
372 8 wfjm
        RB_LAM  => RB_LAM_LP11,
373 2 wfjm
        IB_MREQ => IB_MREQ,
374
        IB_SRES => IB_SRES_LP11,
375
        EI_REQ  => EI_REQ_LP11,
376
        EI_ACK  => EI_ACK_LP11
377
      );
378
  end generate LP11;
379
 
380
  SDREG : ibdr_sdreg
381
    port map (
382
      CLK     => CLK,
383
      RESET   => RESET,
384
      IB_MREQ => IB_MREQ,
385
      IB_SRES => IB_SRES_SDREG,
386
      DISPREG => DISPREG
387
    );
388
 
389
  SRES_OR_1 : ib_sres_or_4
390
    port map (
391
      IB_SRES_1  => IB_SRES_KW11P,
392
      IB_SRES_2  => IB_SRES_IIST,
393
      IB_SRES_3  => IB_SRES_KW11L,
394
      IB_SRES_4  => IB_SRES_DEUNA,
395
      IB_SRES_OR => IB_SRES_1
396
    );
397
 
398
  SRES_OR_2 : ib_sres_or_4
399
    port map (
400 30 wfjm
      IB_SRES_1  => IB_SRES_RHRP,
401 2 wfjm
      IB_SRES_2  => IB_SRES_RL11,
402
      IB_SRES_3  => IB_SRES_RK11,
403
      IB_SRES_4  => IB_SRES_TM11,
404
      IB_SRES_OR => IB_SRES_2
405
    );
406
 
407
  SRES_OR_3 : ib_sres_or_3
408
    port map (
409
      IB_SRES_1  => IB_SRES_DZ11,
410
      IB_SRES_2  => IB_SRES_DL11_0,
411
      IB_SRES_3  => IB_SRES_DL11_1,
412
      IB_SRES_OR => IB_SRES_3
413
    );
414
 
415
  SRES_OR_4 : ib_sres_or_3
416
    port map (
417
      IB_SRES_1  => IB_SRES_PC11,
418
      IB_SRES_2  => IB_SRES_LP11,
419
      IB_SRES_3  => IB_SRES_SDREG,
420
      IB_SRES_OR => IB_SRES_4
421
    );
422
 
423
  SRES_OR : ib_sres_or_4
424
    port map (
425
      IB_SRES_1  => IB_SRES_1,
426
      IB_SRES_2  => IB_SRES_2,
427
      IB_SRES_3  => IB_SRES_3,
428
      IB_SRES_4  => IB_SRES_4,
429
      IB_SRES_OR => IB_SRES
430
    );
431
 
432
  INTMAP : ib_intmap
433
    generic map (
434
      INTMAP => conf_intmap)
435
    port map (
436
      EI_REQ  => EI_REQ,
437
      EI_ACKM => EI_ACKM,
438
      EI_ACK  => EI_ACK,
439
      EI_PRI  => EI_PRI,
440
      EI_VECT => EI_VECT
441
    );
442
 
443 30 wfjm
  EI_REQ(15) <= EI_REQ_IIST;
444
  EI_REQ(14) <= EI_REQ_KW11L;
445
  EI_REQ(13) <= EI_REQ_RHRP;
446
  EI_REQ(12) <= EI_REQ_RL11;
447
  EI_REQ(11) <= EI_REQ_RK11;
448
  EI_REQ(10) <= EI_REQ_TM11;
449 2 wfjm
  EI_REQ( 9) <= EI_REQ_DZ11RX;
450
  EI_REQ( 8) <= EI_REQ_DZ11TX;
451
  EI_REQ( 7) <= EI_REQ_DL11RX_0;
452
  EI_REQ( 6) <= EI_REQ_DL11TX_0;
453
  EI_REQ( 5) <= EI_REQ_DL11RX_1;
454
  EI_REQ( 4) <= EI_REQ_DL11TX_1;
455
  EI_REQ( 3) <= EI_REQ_PC11PTR;
456
  EI_REQ( 2) <= EI_REQ_PC11PTP;
457
  EI_REQ( 1) <= EI_REQ_LP11;
458
 
459 30 wfjm
  EI_ACK_IIST     <= EI_ACK(15);
460
  EI_ACK_KW11L    <= EI_ACK(14);
461
  EI_ACK_RHRP     <= EI_ACK(13);
462
  EI_ACK_RL11     <= EI_ACK(12);
463
  EI_ACK_RK11     <= EI_ACK(11);
464
  EI_ACK_TM11     <= EI_ACK(10);
465 2 wfjm
  EI_ACK_DZ11RX   <= EI_ACK( 9);
466
  EI_ACK_DZ11TX   <= EI_ACK( 8);
467
  EI_ACK_DL11RX_0 <= EI_ACK( 7);
468
  EI_ACK_DL11TX_0 <= EI_ACK( 6);
469
  EI_ACK_DL11RX_1 <= EI_ACK( 5);
470
  EI_ACK_DL11TX_1 <= EI_ACK( 4);
471
  EI_ACK_PC11PTR  <= EI_ACK( 3);
472
  EI_ACK_PC11PTP  <= EI_ACK( 2);
473
  EI_ACK_LP11     <= EI_ACK( 1);
474
 
475 8 wfjm
  RB_LAM(15 downto 11) <= (others=>'0');
476
  RB_LAM(10) <= RB_LAM_PC11;
477
  RB_LAM( 9) <= RB_LAM_DENUA;
478
  RB_LAM( 8) <= RB_LAM_LP11;
479
  RB_LAM( 7) <= RB_LAM_TM11;
480 30 wfjm
  RB_LAM( 6) <= RB_LAM_RHRP;
481 8 wfjm
  RB_LAM( 5) <= RB_LAM_RL11;
482
  RB_LAM( 4) <= RB_LAM_RK11;
483
  RB_LAM( 3) <= RB_LAM_DZ11;
484
  RB_LAM( 2) <= RB_LAM_DL11_1;
485
  RB_LAM( 1) <= RB_LAM_DL11_0;
486 2 wfjm
 
487
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.