OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [sys_gen/] [tst_fx2loop/] [nexys3/] [ic/] [sys_tst_fx2loop_ic_n3.ucf_cpp] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 wfjm
## $Id: sys_tst_fx2loop_ic_n3.ucf_cpp 556 2014-05-29 19:01:39Z mueller $
2 21 wfjm
##
3
## Revision History:
4
## Date         Rev Version  Comment
5 22 wfjm
## 2013-10-13   540   1.1    add pad->clk and fx2 cdc constraints
6 21 wfjm
## 2012-04-09   461   1.0    Initial version
7
##
8
 
9
NET "I_CLK100" TNM_NET = "I_CLK100";
10
TIMESPEC "TS_I_CLK100" = PERIOD "I_CLK100" 10.0 ns HIGH 50 %;
11
OFFSET =  IN 10 ns BEFORE "I_CLK100";
12
OFFSET = OUT 20 ns  AFTER "I_CLK100";
13
 
14 22 wfjm
## constrain pad->net clock delay
15
NET CLK TNM = TNM_CLK;
16
TIMESPEC TS_PAD_CLK=FROM PADS(I_CLK100) TO TNM_CLK 10 ns;
17
NET I_FX2_IFCLK_BUFGP TNM = TNM_IFCLK;
18
TIMESPEC TS_PAD_IFCLK=FROM PADS(I_FX2_IFCLK) TO TNM_IFCLK 10 ns;
19
 
20
## constrain async pad->pad delays
21
TIMEGRP TG_SLOW_INS  = PADS(I_RXD);
22
TIMEGRP TG_SLOW_OUTS = PADS(O_TXD);
23
TIMESPEC TS_ASYNC_PADS=FROM TG_SLOW_INS TO TG_SLOW_OUTS 10 ns;
24
 
25
## FX2 controller specific constraints
26
##   constrain cdc path in fifos and reset
27
TIMESPEC TS_CDC_FIFO =
28
   FROM FFS(*FIFO/GC?/GRAY_*.CNT/R_DATA*
29
            *FIFO/R_REG?_rst?
30
            *FIFO/R_REG?_rst?_s)
31
   TO   FFS(*FIFO/R_REG?_?addr_c*
32
            *FIFO/R_REG?_rst?_c
33
            *FIFO/R_REG?_rst?_sc)
34
   5 ns DATAPATHONLY;
35
 
36
##   constrain cdc path in monitor
37
TIMESPEC TS_CDC_FX2MONI = FROM FFS
38
                          TO   FFS(FX2_CNTL*/R_MONI_C*) 5 ns DATAPATHONLY;
39
 
40
##
41 21 wfjm
## std board
42
##
43
#include "bplib/nexys3/nexys3_pins.ucf"
44
##
45
## FX2 interface
46
##
47
#include "bplib/nexys3/nexys3_pins_fx2.ucf"
48
#include "bplib/nexys3/nexys3_time_fx2_ic.ucf"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.