OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [sys_gen/] [tst_rlink/] [nexys4/] [sys_tst_rlink_n4.vhd] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 wfjm
-- $Id: sys_tst_rlink_n4.vhd 672 2015-05-02 21:58:28Z mueller $
2 29 wfjm
--
3
-- Copyright 2013-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_rlink_n4 - syn
16
-- Description:    rlink tester design for nexys4
17
--
18
-- Dependencies:   vlib/xlib/s7_cmt_sfs
19
--                 vlib/genlib/clkdivce
20
--                 bplib/bpgen/bp_rs232_4line_iob
21
--                 bplib/bpgen/sn_humanio_rbus
22
--                 vlib/rlink/rlink_sp1c
23
--                 rbd_tst_rlink
24
--                 vlib/rbus/rb_sres_or_2
25
--
26
-- Test bench:     tb/tb_tst_rlink_n4
27
--
28
-- Target Devices: generic
29
-- Tool versions:  ise 14.5-14.7; viv 2014.4; ghdl 0.29-0.31
30
--
31
-- Synthesized:
32
-- Date         Rev  viv    Target       flop  lutl  lutm  bram  slic
33
-- 2015-01-31   640 2014.4  xc7a100t-1    990  1360    64     0   495  
34
--
35
-- Revision History: 
36
-- Date         Rev Version  Comment
37 30 wfjm
-- 2015-04-11   666   1.4.1  rearrange XON handling
38 29 wfjm
-- 2015-02-06   643   1.4    factor out memory
39
-- 2015-02-01   641   1.3.1  separate I_BTNRST_N; autobaud on msb of display
40
-- 2015-01-31   640   1.3    drop fusp iface; use new sn_hio
41
-- 2014-11-09   603   1.2    use new rlink v4 iface and 4 bit STAT
42
-- 2014-08-15   583   1.1    rb_mreq addr now 16 bit
43
-- 2013-09-28   535   1.0    Initial version (derived from sys_tst_rlink_n3)
44
------------------------------------------------------------------------------
45
-- Usage of Nexys 4 Switches, Buttons, LEDs:
46
--
47
--    SWI(7:2): no function (only connected to sn_humanio_rbus)
48
--    SWI(1):   1 enable XON
49
--    SWI(0):   -unused-
50
--
51
--    LED(7):   SER_MONI.abact
52
--    LED(6:2): no function (only connected to sn_humanio_rbus)
53
--    LED(0):   timer 0 busy 
54
--    LED(1):   timer 1 busy 
55
--
56
--    DSP:      SER_MONI.clkdiv         (from auto bauder)
57
--    DP(3):    not SER_MONI.txok       (shows tx back preasure)
58
--    DP(2):    SER_MONI.txact          (shows tx activity)
59
--    DP(1):    not SER_MONI.rxok       (shows rx back preasure)
60
--    DP(0):    SER_MONI.rxact          (shows rx activity)
61
--
62
 
63
library ieee;
64
use ieee.std_logic_1164.all;
65
 
66
use work.slvtypes.all;
67
use work.xlib.all;
68
use work.genlib.all;
69
use work.serportlib.all;
70
use work.rblib.all;
71
use work.rlinklib.all;
72
use work.bpgenlib.all;
73
use work.bpgenrbuslib.all;
74
use work.sys_conf.all;
75
 
76
-- ----------------------------------------------------------------------------
77
 
78
entity sys_tst_rlink_n4 is              -- top level
79
                                        -- implements nexys4_aif
80
  port (
81
    I_CLK100 : in slbit;                -- 100 MHz clock
82
    I_RXD : in slbit;                   -- receive data (board view)
83
    O_TXD : out slbit;                  -- transmit data (board view)
84
    O_RTS_N : out slbit;                -- rx rts (board view; act.low)
85
    I_CTS_N : in slbit;                 -- tx cts (board view; act.low)
86
    I_SWI : in slv16;                   -- n4 switches
87
    I_BTN : in slv5;                    -- n4 buttons
88
    I_BTNRST_N : in slbit;              -- n4 reset button
89
    O_LED : out slv16;                  -- n4 leds
90
    O_RGBLED0 : out slv3;               -- n4 rgb-led 0
91
    O_RGBLED1 : out slv3;               -- n4 rgb-led 1
92
    O_ANO_N : out slv8;                 -- 7 segment disp: anodes   (act.low)
93
    O_SEG_N : out slv8                  -- 7 segment disp: segments (act.low)
94
  );
95
end sys_tst_rlink_n4;
96
 
97
architecture syn of sys_tst_rlink_n4 is
98
 
99
  signal CLK :   slbit := '0';
100
 
101
  signal RXD :   slbit := '1';
102
  signal TXD :   slbit := '0';
103
  signal RTS_N : slbit := '0';
104
  signal CTS_N : slbit := '0';
105
 
106
  signal SWI     : slv16 := (others=>'0');
107
  signal BTN     : slv5  := (others=>'0');
108
  signal LED     : slv16 := (others=>'0');
109
  signal DSP_DAT : slv32 := (others=>'0');
110
  signal DSP_DP  : slv8  := (others=>'0');
111
 
112
  signal RESET   : slbit := '0';
113
  signal CE_USEC : slbit := '0';
114
  signal CE_MSEC : slbit := '0';
115
 
116
  signal RB_MREQ : rb_mreq_type := rb_mreq_init;
117
  signal RB_SRES : rb_sres_type := rb_sres_init;
118
  signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
119
  signal RB_SRES_TST : rb_sres_type := rb_sres_init;
120
 
121
  signal RB_LAM  : slv16 := (others=>'0');
122
  signal RB_STAT : slv4  := (others=>'0');
123
 
124
  signal SER_MONI : serport_moni_type := serport_moni_init;
125
  signal STAT    : slv8  := (others=>'0');
126
 
127
  constant rbaddr_hio   : slv16 := x"fef0"; -- fef0/4: 1111 1110 1111 0xxx
128
 
129
begin
130
 
131
  assert (sys_conf_clksys mod 1000000) = 0
132
    report "assert sys_conf_clksys on MHz grid"
133
    severity failure;
134
 
135
  RESET <= '0';                         -- so far not used
136
 
137
  GEN_CLKSYS : s7_cmt_sfs
138
    generic map (
139
      VCO_DIVIDE     => sys_conf_clksys_vcodivide,
140
      VCO_MULTIPLY   => sys_conf_clksys_vcomultiply,
141
      OUT_DIVIDE     => sys_conf_clksys_outdivide,
142
      CLKIN_PERIOD   => 10.0,
143
      CLKIN_JITTER   => 0.01,
144
      STARTUP_WAIT   => false,
145
      GEN_TYPE       => sys_conf_clksys_gentype)
146
    port map (
147
      CLKIN   => I_CLK100,
148
      CLKFX   => CLK,
149
      LOCKED  => open
150
    );
151
 
152
  CLKDIV : clkdivce
153
    generic map (
154
      CDUWIDTH => 8,                    -- good up to 254 MHz
155
      USECDIV  => sys_conf_clksys_mhz,
156
      MSECDIV  => 1000)
157
    port map (
158
      CLK     => CLK,
159
      CE_USEC => CE_USEC,
160
      CE_MSEC => CE_MSEC
161
    );
162
 
163
  IOB_RS232 : bp_rs232_4line_iob
164
    port map (
165
      CLK     => CLK,
166
      RXD     => RXD,
167
      TXD     => TXD,
168
      CTS_N   => CTS_N,
169
      RTS_N   => RTS_N,
170
      I_RXD   => I_RXD,
171
      O_TXD   => O_TXD,
172
      I_CTS_N => I_CTS_N,
173
      O_RTS_N => O_RTS_N
174
    );
175
 
176
  HIO : sn_humanio_rbus
177
    generic map (
178
      SWIDTH   => 16,
179
      BWIDTH   =>  5,
180
      LWIDTH   => 16,
181
      DCWIDTH  =>  3,
182
      DEBOUNCE => sys_conf_hio_debounce,
183
      RB_ADDR  => rbaddr_hio)
184
    port map (
185
      CLK     => CLK,
186
      RESET   => RESET,
187
      CE_MSEC => CE_MSEC,
188
      RB_MREQ => RB_MREQ,
189
      RB_SRES => RB_SRES_HIO,
190
      SWI     => SWI,
191
      BTN     => BTN,
192
      LED     => LED,
193
      DSP_DAT => DSP_DAT,
194
      DSP_DP  => DSP_DP,
195
      I_SWI   => I_SWI,
196
      I_BTN   => I_BTN,
197
      O_LED   => O_LED,
198
      O_ANO_N => O_ANO_N,
199
      O_SEG_N => O_SEG_N
200
    );
201
 
202
  RLINK : rlink_sp1c
203
    generic map (
204
      BTOWIDTH     => 6,
205
      RTAWIDTH     => 12,
206
      SYSID        => (others=>'0'),
207
      IFAWIDTH     => 5,
208
      OFAWIDTH     => 5,
209
      ENAPIN_RLMON => sbcntl_sbf_rlmon,
210
      ENAPIN_RBMON => sbcntl_sbf_rbmon,
211
      CDWIDTH      => 15,
212 30 wfjm
      CDINIT       => sys_conf_ser2rri_cdinit,
213
      RBMON_AWIDTH => 0,
214
      RBMON_RBADDR => x"ffe8")
215 29 wfjm
    port map (
216
      CLK      => CLK,
217
      CE_USEC  => CE_USEC,
218
      CE_MSEC  => CE_MSEC,
219
      CE_INT   => CE_MSEC,
220
      RESET    => RESET,
221
      ENAXON   => SWI(1),
222 30 wfjm
      ESCFILL  => '0',
223 29 wfjm
      RXSD     => RXD,
224
      TXSD     => TXD,
225
      CTS_N    => CTS_N,
226
      RTS_N    => RTS_N,
227
      RB_MREQ  => RB_MREQ,
228
      RB_SRES  => RB_SRES,
229
      RB_LAM   => RB_LAM,
230
      RB_STAT  => RB_STAT,
231
      RL_MONI  => open,
232
      SER_MONI => SER_MONI
233
    );
234
 
235
  RBDTST : entity work.rbd_tst_rlink
236
    port map (
237
      CLK         => CLK,
238
      RESET       => RESET,
239
      CE_USEC     => CE_USEC,
240
      RB_MREQ     => RB_MREQ,
241
      RB_SRES     => RB_SRES_TST,
242
      RB_LAM      => RB_LAM,
243
      RB_STAT     => RB_STAT,
244
      RB_SRES_TOP => RB_SRES,
245
      RXSD        => RXD,
246
      RXACT       => SER_MONI.rxact,
247
      STAT        => STAT
248
    );
249
 
250
  RB_SRES_OR1 : rb_sres_or_2
251
    port map (
252
      RB_SRES_1  => RB_SRES_HIO,
253
      RB_SRES_2  => RB_SRES_TST,
254
      RB_SRES_OR => RB_SRES
255
    );
256
 
257
  DSP_DAT(31 downto 20) <= SER_MONI.abclkdiv(11 downto 0);
258
  DSP_DAT(19)           <= '0';
259
  DSP_DAT(18 downto 16) <= SER_MONI.abclkdiv_f;
260
  DSP_DP(7 downto 4)    <= "0010";
261
 
262
  DSP_DAT(15 downto 0)  <= (others=>'0');
263
 
264
  DSP_DP(3) <= not SER_MONI.txok;
265
  DSP_DP(2) <= SER_MONI.txact;
266
  DSP_DP(1) <= not SER_MONI.rxok;
267
  DSP_DP(0) <= SER_MONI.rxact;
268
 
269
  LED(15 downto 8) <= SWI(15 downto 8);
270
  LED(7) <= SER_MONI.abact;
271
  LED(6 downto 2)  <= (others=>'0');
272
  LED(1) <= STAT(1);
273
  LED(0) <= STAT(0);
274
 
275
  -- setup unused outputs in nexys4
276
  O_RGBLED0 <= (others=>'0');
277
  O_RGBLED1 <= (others=>not I_BTNRST_N);
278
 
279
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.