OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [sys_gen/] [tst_serloop/] [nexys2/] [sys_tst_serloop1_n2.vhd] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 wfjm
-- $Id: sys_tst_serloop1_n2.vhd 649 2015-02-21 21:10:16Z mueller $
2 16 wfjm
--
3
-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_serloop1_n2 - syn
16
-- Description:    Tester serial link for nexys2
17
--
18
-- Dependencies:   genlib/clkdivce
19
--                 bpgen/bp_rs232_2l4l_iob
20
--                 bpgen/sn_humanio
21
--                 tst_serloop_hiomap
22
--                 vlib/serport/serport_1clock
23
--                 tst_serloop
24
--                 vlib/nxcramlib/nx_cram_dummy
25
--
26
-- Test bench:     -
27
--
28
-- Target Devices: generic
29 29 wfjm
-- Tool versions:  xst 13.1-14.7; ghdl 0.29-0.31
30 16 wfjm
--
31
-- Synthesized (xst):
32
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
33
-- 2011-12-16   439 13.1    O40d xc3s1200e-4  433  634   64  490 t 13.1
34
--
35
-- Revision History: 
36
-- Date         Rev Version  Comment
37 17 wfjm
-- 2011-12-23   444   1.1    remove clksys output hack
38
-- 2011-12-16   439   1.0    Initial version
39 16 wfjm
------------------------------------------------------------------------------
40
--
41
 
42
library ieee;
43
use ieee.std_logic_1164.all;
44
use ieee.numeric_std.all;
45
 
46
use work.slvtypes.all;
47
use work.xlib.all;
48
use work.genlib.all;
49
use work.bpgenlib.all;
50
use work.tst_serlooplib.all;
51 19 wfjm
use work.serportlib.all;
52 16 wfjm
use work.nxcramlib.all;
53
use work.sys_conf.all;
54
 
55
-- ----------------------------------------------------------------------------
56
 
57
entity sys_tst_serloop1_n2 is            -- top level
58
                                        -- implements nexys2_fusp_aif
59
  port (
60
    I_CLK50 : in slbit;                 -- 50 MHz clock
61
    I_RXD : in slbit;                   -- receive data (board view)
62
    O_TXD : out slbit;                  -- transmit data (board view)
63
    I_SWI : in slv8;                    -- n2 switches
64
    I_BTN : in slv4;                    -- n2 buttons
65
    O_LED : out slv8;                   -- n2 leds
66
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
67
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
68
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
69
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
70
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
71
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
72
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
73
    O_MEM_CLK : out slbit;              -- cram: clock
74
    O_MEM_CRE : out slbit;              -- cram: command register enable
75
    I_MEM_WAIT : in slbit;              -- cram: mem wait
76
    O_MEM_ADDR  : out slv23;            -- cram: address lines
77
    IO_MEM_DATA : inout slv16;          -- cram: data lines
78
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
79
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
80
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
81
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
82
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
83
  );
84
end sys_tst_serloop1_n2;
85
 
86
architecture syn of sys_tst_serloop1_n2 is
87
 
88
  signal CLK :   slbit := '0';
89
  signal RESET : slbit := '0';
90
 
91
  signal CE_USEC : slbit := '0';
92
  signal CE_MSEC : slbit := '0';
93
 
94
  signal RXD :   slbit := '0';
95
  signal TXD :   slbit := '0';
96
  signal CTS_N : slbit := '0';
97
  signal RTS_N : slbit := '0';
98
 
99
  signal SWI     : slv8  := (others=>'0');
100
  signal BTN     : slv4  := (others=>'0');
101
  signal LED     : slv8  := (others=>'0');
102
  signal DSP_DAT : slv16 := (others=>'0');
103
  signal DSP_DP  : slv4  := (others=>'0');
104
 
105
  signal HIO_CNTL : hio_cntl_type := hio_cntl_init;
106
  signal HIO_STAT : hio_stat_type := hio_stat_init;
107
 
108
  signal RXDATA : slv8  := (others=>'0');
109
  signal RXVAL :  slbit := '0';
110
  signal RXHOLD : slbit := '0';
111
  signal TXDATA : slv8  := (others=>'0');
112
  signal TXENA :  slbit := '0';
113
  signal TXBUSY : slbit := '0';
114
 
115
  signal SER_MONI : serport_moni_type  := serport_moni_init;
116
 
117
begin
118
 
119
  CLK <= I_CLK50;
120
 
121
  CLKDIV : clkdivce
122
    generic map (
123
      CDUWIDTH => 7,
124
      USECDIV  => sys_conf_clkdiv_usecdiv,   -- syn:  100  sim:  20
125
      MSECDIV  => sys_conf_clkdiv_msecdiv)   -- syn: 1000  sim:   5
126
    port map (
127
      CLK     => CLK,
128
      CE_USEC => open,
129
      CE_MSEC => CE_MSEC
130
    );
131
 
132
  HIO : sn_humanio
133
    generic map (
134
      DEBOUNCE => sys_conf_hio_debounce)
135
    port map (
136
      CLK     => CLK,
137
      RESET   => '0',
138
      CE_MSEC => CE_MSEC,
139
      SWI     => SWI,
140
      BTN     => BTN,
141
      LED     => LED,
142
      DSP_DAT => DSP_DAT,
143
      DSP_DP  => DSP_DP,
144
      I_SWI   => I_SWI,
145
      I_BTN   => I_BTN,
146
      O_LED   => O_LED,
147
      O_ANO_N => O_ANO_N,
148
      O_SEG_N => O_SEG_N
149
    );
150
 
151
  RESET <= BTN(0);                      -- BTN(0) will reset tester !!
152
 
153
  HIOMAP : tst_serloop_hiomap
154
    port map (
155
      CLK      => CLK,
156
      RESET    => RESET,
157
      HIO_CNTL => HIO_CNTL,
158
      HIO_STAT => HIO_STAT,
159
      SER_MONI => SER_MONI,
160
      SWI      => SWI,
161
      BTN      => BTN,
162
      LED      => LED,
163
      DSP_DAT  => DSP_DAT,
164
      DSP_DP   => DSP_DP
165
    );
166
 
167
  IOB_RS232 : bp_rs232_2l4l_iob
168
    port map (
169
      CLK      => CLK,
170
      RESET    => '0',
171
      SEL      => SWI(0),               -- port selection
172
      RXD      => RXD,
173
      TXD      => TXD,
174
      CTS_N    => CTS_N,
175
      RTS_N    => RTS_N,
176
      I_RXD0   => I_RXD,
177
      O_TXD0   => O_TXD,
178
      I_RXD1   => I_FUSP_RXD,
179
      O_TXD1   => O_FUSP_TXD,
180
      I_CTS1_N => I_FUSP_CTS_N,
181
      O_RTS1_N => O_FUSP_RTS_N
182
    );
183
 
184
  SERPORT : serport_1clock
185
    generic map (
186
      CDWIDTH   => 15,
187
      CDINIT    => sys_conf_uart_cdinit,
188
      RXFAWIDTH => 5,
189
      TXFAWIDTH => 5)
190
    port map (
191
      CLK      => CLK,
192
      CE_MSEC  => CE_MSEC,
193
      RESET    => RESET,
194
      ENAXON   => HIO_CNTL.enaxon,
195
      ENAESC   => HIO_CNTL.enaesc,
196
      RXDATA   => RXDATA,
197
      RXVAL    => RXVAL,
198
      RXHOLD   => RXHOLD,
199
      TXDATA   => TXDATA,
200
      TXENA    => TXENA,
201
      TXBUSY   => TXBUSY,
202
      MONI     => SER_MONI,
203
      RXSD     => RXD,
204
      TXSD     => TXD,
205
      RXRTS_N  => RTS_N,
206
      TXCTS_N  => CTS_N
207
    );
208
 
209
  TESTER : tst_serloop
210
    port map (
211
      CLK      => CLK,
212
      RESET    => RESET,
213
      CE_MSEC  => CE_MSEC,
214
      HIO_CNTL => HIO_CNTL,
215
      HIO_STAT => HIO_STAT,
216
      SER_MONI => SER_MONI,
217
      RXDATA   => RXDATA,
218
      RXVAL    => RXVAL,
219
      RXHOLD   => RXHOLD,
220
      TXDATA   => TXDATA,
221
      TXENA    => TXENA,
222
      TXBUSY   => TXBUSY
223
    );
224
 
225
  SRAM_PROT : nx_cram_dummy            -- connect CRAM to protection dummy
226
    port map (
227
      O_MEM_CE_N  => O_MEM_CE_N,
228
      O_MEM_BE_N  => O_MEM_BE_N,
229
      O_MEM_WE_N  => O_MEM_WE_N,
230
      O_MEM_OE_N  => O_MEM_OE_N,
231
      O_MEM_ADV_N => O_MEM_ADV_N,
232
      O_MEM_CLK   => O_MEM_CLK,
233
      O_MEM_CRE   => O_MEM_CRE,
234
      I_MEM_WAIT  => I_MEM_WAIT,
235
      O_MEM_ADDR  => O_MEM_ADDR,
236
      IO_MEM_DATA => IO_MEM_DATA
237
    );
238
 
239
  O_FLA_CE_N  <= '1';                   -- keep Flash memory disabled
240
 
241
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.