OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [sys_gen/] [tst_serloop/] [nexys2/] [sys_tst_serloop2_n2.vhd] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 wfjm
-- $Id: sys_tst_serloop2_n2.vhd 649 2015-02-21 21:10:16Z mueller $
2 16 wfjm
--
3
-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_serloop2_n2 - syn
16
-- Description:    Tester serial link for nexys2
17
--
18
-- Dependencies:   vlib/xlib/dcm_sfs
19
--                 genlib/clkdivce
20
--                 bpgen/bp_rs232_2l4l_iob
21
--                 bpgen/sn_humanio
22
--                 tst_serloop_hiomap
23
--                 vlib/serport/serport_2clock
24
--                 tst_serloop
25
--                 vlib/nxcramlib/nx_cram_dummy
26
--
27
-- Test bench:     -
28
--
29
-- Target Devices: generic
30 29 wfjm
-- Tool versions:  xst 13.1-14.7; ghdl 0.29-0.31
31 16 wfjm
--
32
-- Synthesized (xst):
33
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
34
-- 2011-12-16   439 13.1    O40d xc3s1200e-4  516  696   64  575 t xx.x
35
-- 2011-11-16   426 13.1    O40d xc3s1200e-4  494  661   64  547 t xx.x
36
-- 2011-11-13   425 13.1    O40d xc3s1200e-4  487  645   64  532 t xx.x
37
--
38
-- Revision History: 
39
-- Date         Rev Version  Comment
40 17 wfjm
-- 2011-12-23   444   1.1    remove clksys output hack
41 16 wfjm
-- 2011-12-09   437   1.0.4  rename serport stat->moni port
42
-- 2011-11-26   433   1.0.3  use nx_cram_dummy now
43
-- 2011-11-23   432   1.0.2  update O_FLA_CE_N usage
44
-- 2011-11-17   426   1.0.1  use dcm_sfs now
45
-- 2011-11-12   423   1.0    Initial version
46
-- 2011-11-09   422   0.5    First draft
47
------------------------------------------------------------------------------
48
--
49
 
50
library ieee;
51
use ieee.std_logic_1164.all;
52
use ieee.numeric_std.all;
53
 
54
use work.slvtypes.all;
55
use work.xlib.all;
56
use work.genlib.all;
57
use work.bpgenlib.all;
58
use work.tst_serlooplib.all;
59 19 wfjm
use work.serportlib.all;
60 16 wfjm
use work.nxcramlib.all;
61
use work.sys_conf.all;
62
 
63
-- ----------------------------------------------------------------------------
64
 
65
entity sys_tst_serloop2_n2 is            -- top level
66
                                        -- implements nexys2_fusp_aif
67
  port (
68
    I_CLK50 : in slbit;                 -- 50 MHz clock
69
    I_RXD : in slbit;                   -- receive data (board view)
70
    O_TXD : out slbit;                  -- transmit data (board view)
71
    I_SWI : in slv8;                    -- n2 switches
72
    I_BTN : in slv4;                    -- n2 buttons
73
    O_LED : out slv8;                   -- n2 leds
74
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
75
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
76
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
77
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
78
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
79
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
80
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
81
    O_MEM_CLK : out slbit;              -- cram: clock
82
    O_MEM_CRE : out slbit;              -- cram: command register enable
83
    I_MEM_WAIT : in slbit;              -- cram: mem wait
84
    O_MEM_ADDR  : out slv23;            -- cram: address lines
85
    IO_MEM_DATA : inout slv16;          -- cram: data lines
86
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
87
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
88
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
89
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
90
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
91
  );
92
end sys_tst_serloop2_n2;
93
 
94
architecture syn of sys_tst_serloop2_n2 is
95
 
96
  signal CLK :   slbit := '0';
97
  signal RESET : slbit := '0';
98
 
99
  signal CE_USEC : slbit := '0';
100
  signal CE_MSEC : slbit := '0';
101
 
102
  signal CLKS :   slbit := '0';
103
  signal CES_MSEC : slbit := '0';
104
 
105
  signal RXD :   slbit := '0';
106
  signal TXD :   slbit := '0';
107
  signal CTS_N : slbit := '0';
108
  signal RTS_N : slbit := '0';
109
 
110
  signal SWI     : slv8  := (others=>'0');
111
  signal BTN     : slv4  := (others=>'0');
112
  signal LED     : slv8  := (others=>'0');
113
  signal DSP_DAT : slv16 := (others=>'0');
114
  signal DSP_DP  : slv4  := (others=>'0');
115
 
116
  signal HIO_CNTL : hio_cntl_type := hio_cntl_init;
117
  signal HIO_STAT : hio_stat_type := hio_stat_init;
118
 
119
  signal RXDATA : slv8  := (others=>'0');
120
  signal RXVAL :  slbit := '0';
121
  signal RXHOLD : slbit := '0';
122
  signal TXDATA : slv8  := (others=>'0');
123
  signal TXENA :  slbit := '0';
124
  signal TXBUSY : slbit := '0';
125
 
126
  signal SER_MONI : serport_moni_type  := serport_moni_init;
127
 
128
begin
129
 
130
  DCM_U : dcm_sfs
131
    generic map (
132
      CLKFX_DIVIDE   => 2,
133
      CLKFX_MULTIPLY => 4,
134
      CLKIN_PERIOD   => 20.0)
135
    port map (
136
      CLKIN   => I_CLK50,
137
      CLKFX   => CLK,
138
      LOCKED  => open
139
    );
140
 
141
  CLKDIV_U : clkdivce
142
    generic map (
143
      CDUWIDTH => 7,
144
      USECDIV  => sys_conf_clkudiv_usecdiv,  -- syn:  100  sim:  20
145
      MSECDIV  => sys_conf_clkdiv_msecdiv)   -- syn: 1000  sim:   5
146
    port map (
147
      CLK     => CLK,
148
      CE_USEC => open,
149
      CE_MSEC => CE_MSEC
150
    );
151
 
152
  DCM_S : dcm_sfs
153
    generic map (
154
      CLKFX_DIVIDE   => 5,
155
      CLKFX_MULTIPLY => 6,
156
      CLKIN_PERIOD   => 20.0)
157
    port map (
158
      CLKIN   => I_CLK50,
159
      CLKFX   => CLKS,
160
      LOCKED  => open
161
    );
162
 
163
  CLKDIV_S : clkdivce
164
    generic map (
165
      CDUWIDTH => 6,
166
      USECDIV  => sys_conf_clksdiv_usecdiv,  -- syn:   60  sim:  12
167
      MSECDIV  => sys_conf_clkdiv_msecdiv)   -- syn: 1000  sim:   5
168
    port map (
169
      CLK     => CLKS,
170
      CE_USEC => open,
171
      CE_MSEC => CES_MSEC
172
    );
173
 
174
  HIO : sn_humanio
175
    generic map (
176
      DEBOUNCE => sys_conf_hio_debounce)
177
    port map (
178
      CLK     => CLK,
179
      RESET   => '0',
180
      CE_MSEC => CE_MSEC,
181
      SWI     => SWI,
182
      BTN     => BTN,
183
      LED     => LED,
184
      DSP_DAT => DSP_DAT,
185
      DSP_DP  => DSP_DP,
186
      I_SWI   => I_SWI,
187
      I_BTN   => I_BTN,
188
      O_LED   => O_LED,
189
      O_ANO_N => O_ANO_N,
190
      O_SEG_N => O_SEG_N
191
    );
192
 
193
  RESET <= BTN(0);                      -- BTN(0) will reset tester !!
194
 
195
  HIOMAP : tst_serloop_hiomap
196
    port map (
197
      CLK      => CLK,
198
      RESET    => RESET,
199
      HIO_CNTL => HIO_CNTL,
200
      HIO_STAT => HIO_STAT,
201
      SER_MONI => SER_MONI,
202
      SWI      => SWI,
203
      BTN      => BTN,
204
      LED      => LED,
205
      DSP_DAT  => DSP_DAT,
206
      DSP_DP   => DSP_DP
207
    );
208
 
209
  IOB_RS232 : bp_rs232_2l4l_iob
210
    port map (
211
      CLK      => CLKS,
212
      RESET    => '0',
213
      SEL      => SWI(0),               -- port selection
214
      RXD      => RXD,
215
      TXD      => TXD,
216
      CTS_N    => CTS_N,
217
      RTS_N    => RTS_N,
218
      I_RXD0   => I_RXD,
219
      O_TXD0   => O_TXD,
220
      I_RXD1   => I_FUSP_RXD,
221
      O_TXD1   => O_FUSP_TXD,
222
      I_CTS1_N => I_FUSP_CTS_N,
223
      O_RTS1_N => O_FUSP_RTS_N
224
    );
225
 
226
  SERPORT : serport_2clock
227
    generic map (
228
      CDWIDTH   => 15,
229
      CDINIT    => sys_conf_uart_cdinit,
230
      RXFAWIDTH => 5,
231
      TXFAWIDTH => 5)
232
    port map (
233
      CLKU     => CLK,
234
      RESET    => RESET,
235
      CLKS     => CLKS,
236
      CES_MSEC => CES_MSEC,
237
      ENAXON   => HIO_CNTL.enaxon,
238
      ENAESC   => HIO_CNTL.enaesc,
239
      RXDATA   => RXDATA,
240
      RXVAL    => RXVAL,
241
      RXHOLD   => RXHOLD,
242
      TXDATA   => TXDATA,
243
      TXENA    => TXENA,
244
      TXBUSY   => TXBUSY,
245
      MONI     => SER_MONI,
246
      RXSD     => RXD,
247
      TXSD     => TXD,
248
      RXRTS_N  => RTS_N,
249
      TXCTS_N  => CTS_N
250
    );
251
 
252
  TESTER : tst_serloop
253
    port map (
254
      CLK      => CLK,
255
      RESET    => RESET,
256
      CE_MSEC  => CE_MSEC,
257
      HIO_CNTL => HIO_CNTL,
258
      HIO_STAT => HIO_STAT,
259
      SER_MONI => SER_MONI,
260
      RXDATA   => RXDATA,
261
      RXVAL    => RXVAL,
262
      RXHOLD   => RXHOLD,
263
      TXDATA   => TXDATA,
264
      TXENA    => TXENA,
265
      TXBUSY   => TXBUSY
266
    );
267
 
268
  SRAM_PROT : nx_cram_dummy            -- connect CRAM to protection dummy
269
    port map (
270
      O_MEM_CE_N  => O_MEM_CE_N,
271
      O_MEM_BE_N  => O_MEM_BE_N,
272
      O_MEM_WE_N  => O_MEM_WE_N,
273
      O_MEM_OE_N  => O_MEM_OE_N,
274
      O_MEM_ADV_N => O_MEM_ADV_N,
275
      O_MEM_CLK   => O_MEM_CLK,
276
      O_MEM_CRE   => O_MEM_CRE,
277
      I_MEM_WAIT  => I_MEM_WAIT,
278
      O_MEM_ADDR  => O_MEM_ADDR,
279
      IO_MEM_DATA => IO_MEM_DATA
280
    );
281
 
282
  O_FLA_CE_N  <= '1';                   -- keep Flash memory disabled
283
 
284
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.