OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [sys_gen/] [w11a/] [nexys2/] [sys_w11a_n2.vhd] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 wfjm
-- $Id: sys_w11a_n2.vhd 692 2015-06-21 11:53:24Z mueller $
2 2 wfjm
--
3 29 wfjm
-- Copyright 2010-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_w11a_n2 - syn
16
-- Description:    w11a test design for nexys2
17
--
18 13 wfjm
-- Dependencies:   vlib/xlib/dcm_sfs
19 8 wfjm
--                 vlib/genlib/clkdivce
20 12 wfjm
--                 bplib/bpgen/bp_rs232_2l4l_iob
21 20 wfjm
--                 bplib/fx2rlink/rlink_sp1c_fx2
22 30 wfjm
--                 w11a/pdp11_sys70
23
--                 ibus/ibdr_maxisys
24 15 wfjm
--                 bplib/nxcramlib/nx_cram_memctl_as
25 29 wfjm
--                 bplib/fx2rlink/ioleds_sp1c_fx2
26 30 wfjm
--                 w11a/pdp11_hio70
27
--                 bplib/bpgen/sn_humanio_rbus
28
--                 vlib/rbus/rb_sres_or_2
29 2 wfjm
--
30 12 wfjm
-- Test bench:     tb/tb_sys_w11a_n2
31 2 wfjm
--
32
-- Target Devices: generic
33 25 wfjm
-- Tool versions:  xst 8.2-14.7; ghdl 0.26-0.31
34 2 wfjm
--
35
-- Synthesized (xst):
36
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
37 32 wfjm
-- 2015-06-21   692 14.7  131013 xc3s1200e-4 2312 6716  414 4192 ok: rhrp fixes
38 31 wfjm
-- 2015-06-04   686 14.7  131013 xc3s1200e-4 2311 6725  414 4198 ok: +TM11
39
-- 2015-05-14   680 14.7  131013 xc3s1200e-4 2232 6547  414 4083 ok: +RHRP
40 29 wfjm
-- 2015-02-21   649 14.7  131013 xc3s1200e-4 1903 5512  382 3483 ok: +RL11
41 28 wfjm
-- 2014-12-22   619 14.7  131013 xc3s1200e-4 1828 5131  366 3263 ok: +rbmon
42 27 wfjm
-- 2014-12-20   614 14.7  131013 xc3s1200e-4 1714 4896  366 3125 ok: -RL11,rlv4
43 25 wfjm
-- 2014-06-08   561 14.7  131013 xc3s1200e-4 1626 4821  360 3052 ok: +RL11
44
-- 2014-06-01   558 14.7  131013 xc3s1200e-4 1561 4597  334 2901 ok: 
45 20 wfjm
-- 2013-04-20   509 13.3    O76d xc3s1200e-4 1541 4598  334 2889 ok: now + FX2 !
46 16 wfjm
-- 2011-12-18   440 13.1    O40d xc3s1200e-4 1450 4439  270 2740 ok: LP+PC+DL+II
47 13 wfjm
-- 2011-11-18   427 13.1    O40d xc3s1200e-4 1433 4374  242 2680 ok: LP+PC+DL+II
48 9 wfjm
-- 2010-12-30   351 12.1    M53d xc3s1200e-4 1389 4368  242 2674 ok: LP+PC+DL+II
49 8 wfjm
-- 2010-11-06   336 12.1    M53d xc3s1200e-4 1357 4304* 242 2618 ok: LP+PC+DL+II
50
-- 2010-10-24   335 12.1    M53d xc3s1200e-4 1357 4546  242 2618 ok: LP+PC+DL+II
51
-- 2010-10-17   333 12.1    M53d xc3s1200e-4 1350 4541  242 2617 ok: LP+PC+DL+II
52
-- 2010-10-16   332 12.1    M53d xc3s1200e-4 1338 4545  242 2629 ok: LP+PC+DL+II
53
-- 2010-06-27   310 12.1    M53d xc3s1200e-4 1337 4307  242 2630 ok: LP+PC+DL+II
54 2 wfjm
-- 2010-06-26   309 11.4    L68  xc3s1200e-4 1318 4293  242 2612 ok: LP+PC+DL+II
55
-- 2010-06-18   306 12.1    M53d xc3s1200e-4 1319 4300  242 2624 ok: LP+PC+DL+II
56
-- "            306 11.4    L68  xc3s1200e-4 1319 4286  242 2618 ok: LP+PC+DL+II
57
-- "            306 10.1.02 K39  xc3s1200e-4 1309 4311  242 2665 ok: LP+PC+DL+II
58
-- "            306  9.2.02 J40  xc3s1200e-4 1316 4259  242 2656 ok: LP+PC+DL+II
59
-- "            306  9.1    J30  xc3s1200e-4 1311 4260  242 2643 ok: LP+PC+DL+II
60
-- "            306  8.2.03 I34  xc3s1200e-4 1371 4394  242 2765 ok: LP+PC+DL+II
61
-- 2010-06-13   305 11.4    L68  xc3s1200e-4 1318 4360  242 2629 ok: LP+PC+DL+II
62
-- 2010-06-12   304 11.4    L68  xc3s1200e-4 1323 4201  242 2574 ok: LP+PC+DL+II
63
-- 2010-06-03   300 11.4    L68  xc3s1200e-4 1318 4181  242 2572 ok: LP+PC+DL+II
64
-- 2010-06-03   299 11.4    L68  xc3s1200e-4 1250 4071  224 2489 ok: LP+PC+DL+II
65
-- 2010-05-26   296 11.4    L68  xc3s1200e-4 1284 4079  224 2492 ok: LP+PC+DL+II
66 8 wfjm
--   Note: till 2010-10-24 lutm included 'route-thru', after only logic
67 2 wfjm
--
68
-- Revision History: 
69
-- Date         Rev Version  Comment
70 30 wfjm
-- 2015-05-09   677   2.1    start/stop/suspend overhaul; reset overhaul
71
-- 2015-05-01   672   2.0    use pdp11_sys70 and pdp11_hio70
72
-- 2015-04-11   666   1.7.2  rearrange XON handling
73 29 wfjm
-- 2015-02-21   649   1.7.1  use ioleds_sp1c,pdp11_(statleds,ledmux,dspmux)
74
-- 2015-02-15   647   1.7    drop bram and minisys options
75 28 wfjm
-- 2014-12-24   620   1.6.2  relocate ibus window and hio rbus address
76
-- 2014-12-22   619   1.6.1  add rbus monitor rbd_rbmon
77 27 wfjm
-- 2014-08-28   588   1.6    use new rlink v4 iface generics and 4 bit STAT
78
-- 2014-08-15   583   1.5    rb_mreq addr now 16 bit
79 20 wfjm
-- 2013-04-20   509   1.4    added fx2 (cuff) support; ATOWIDTH=7
80 17 wfjm
-- 2011-12-23   444   1.3    remove clksys output hack
81 16 wfjm
-- 2011-12-18   440   1.2.7  use rlink_sp1c
82 15 wfjm
-- 2011-11-26   433   1.2.6  use nx_cram_(dummy|memctl_as) now
83
-- 2011-11-23   432   1.2.5  update O_FLA_CE_N usage
84 13 wfjm
-- 2011-11-19   427   1.2.4  now numeric_std clean
85
-- 2011-11-17   426   1.2.3  use dcm_sfs now
86 12 wfjm
-- 2011-07-09   391   1.2.2  use now bp_rs232_2l4l_iob
87
-- 2011-07-08   390   1.2.1  use now sn_humanio
88 9 wfjm
-- 2010-12-30   351   1.2    ported to rbv3
89 8 wfjm
-- 2010-11-27   341   1.1.8  add DCM; new sys_conf consts for mem and clkdiv
90
-- 2010-11-13   338   1.1.7  add O_CLKSYS (for DCM derived system clock)
91
-- 2010-11-06   336   1.1.6  rename input pin CLK -> I_CLK50
92
-- 2010-10-23   335   1.1.5  rename RRI_LAM->RB_LAM;
93 2 wfjm
-- 2010-06-26   309   1.1.4  use constants for rbus addresses (rbaddr_...)
94
--                           BUGFIX: resolve rbus address clash hio<->ibr
95
-- 2010-06-18   306   1.1.3  change proc_led sensitivity list to avoid xst warn;
96
--                           rename RB_ADDR->RB_ADDR_CORE, add RB_ADDR_IBUS;
97
--                           remove pdp11_ibdr_rri
98
-- 2010-06-13   305   1.1.2  add CP_ADDR, wire up pdp11_core_rri->pdp11_core
99
-- 2010-06-12   304   1.1.1  re-do LED driver logic (show cpu modes or cpurust)
100
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
101
-- 2010-06-03   300   1.0.2  use default FAWIDTH for rri_core_serport
102
--                           use s3_humanio_rri
103
-- 2010-05-30   297   1.0.1  put MEM_ACT_(R|W) on LED 6,7
104
-- 2010-05-28   295   1.0    Initial version (derived from sys_w11a_s3)
105
------------------------------------------------------------------------------
106
--
107
-- w11a test design for nexys2
108 20 wfjm
--    w11a + rlink + serport + cuff
109 2 wfjm
--
110
-- Usage of Nexys 2 Switches, Buttons, LEDs:
111
--
112 29 wfjm
--    SWI(7:6): no function (only connected to sn_humanio_rbus)
113
--       (5:4):  select DSP
114
--                 00 abclkdiv & abclkdiv_f
115
--                 01 PC
116
--                 10 DISPREG
117
--                 11 DR emulation
118
--       (3):    select LED display
119
--                 0 overall status
120
--                 1 DR emulation
121 20 wfjm
--       (2)    0 -> int/ext RS242 port for rlink
122
--              1 -> use USB interface for rlink
123
--       (1):   1 enable XON
124
--       (0):   0 -> main board RS232 port
125 2 wfjm
--              1 -> Pmod B/top RS232 port
126 29 wfjm
--
127
--    LEDs if SWI(3) = 1
128
--      (7:0)    DR emulation; shows R0(lower 8 bits) during wait like 11/45+70
129
--
130
--    LEDs if SWI(3) = 0
131
--        (7)    MEM_ACT_W
132
--        (6)    MEM_ACT_R
133
--        (5)    cmdbusy (all rlink access, mostly rdma)
134
--      (4:0)    if cpugo=1 show cpu mode activity
135 16 wfjm
--                  (4) kernel mode, pri>0
136
--                  (3) kernel mode, pri=0
137
--                  (2) kernel mode, wait
138
--                  (1) supervisor mode
139 2 wfjm
--                  (0) user mode
140
--              if cpugo=0 shows cpurust
141 29 wfjm
--                  (4) '1'
142 2 wfjm
--                (3:0) cpurust code
143
--
144 20 wfjm
--    DP(3:0) shows IO activity
145
--            if SWI(2)=0 (serport)
146
--                  (3):    not SER_MONI.txok       (shows tx back preasure)
147
--                  (2):    SER_MONI.txact          (shows tx activity)
148
--                  (1):    not SER_MONI.rxok       (shows rx back preasure)
149
--                  (0):    SER_MONI.rxact          (shows rx activity)
150
--            if SWI(2)=1 (fx2-usb)
151
--                  (3):    RB_SRES.busy            (shows rbus back preasure)
152
--                  (2):    RLB_TXBUSY              (shows tx back preasure)
153
--                  (1):    RLB_TXENA               (shows tx activity)
154
--                  (0):    RLB_RXVAL               (shows rx activity)
155 16 wfjm
--
156 2 wfjm
 
157
library ieee;
158
use ieee.std_logic_1164.all;
159 13 wfjm
use ieee.numeric_std.all;
160 2 wfjm
 
161
use work.slvtypes.all;
162 8 wfjm
use work.xlib.all;
163 2 wfjm
use work.genlib.all;
164 19 wfjm
use work.serportlib.all;
165 9 wfjm
use work.rblib.all;
166
use work.rlinklib.all;
167 20 wfjm
use work.fx2lib.all;
168
use work.fx2rlinklib.all;
169 12 wfjm
use work.bpgenlib.all;
170 19 wfjm
use work.bpgenrbuslib.all;
171 15 wfjm
use work.nxcramlib.all;
172 2 wfjm
use work.iblib.all;
173
use work.ibdlib.all;
174
use work.pdp11.all;
175
use work.sys_conf.all;
176
 
177
-- ----------------------------------------------------------------------------
178
 
179
entity sys_w11a_n2 is                   -- top level
180 20 wfjm
                                        -- implements nexys2_fusp_cuff_aif
181 2 wfjm
  port (
182 8 wfjm
    I_CLK50 : in slbit;                 -- 50 MHz clock
183 2 wfjm
    I_RXD : in slbit;                   -- receive data (board view)
184
    O_TXD : out slbit;                  -- transmit data (board view)
185 15 wfjm
    I_SWI : in slv8;                    -- n2 switches
186
    I_BTN : in slv4;                    -- n2 buttons
187
    O_LED : out slv8;                   -- n2 leds
188 2 wfjm
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
189
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
190
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
191
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
192
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
193
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
194
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
195
    O_MEM_CLK : out slbit;              -- cram: clock
196
    O_MEM_CRE : out slbit;              -- cram: command register enable
197
    I_MEM_WAIT : in slbit;              -- cram: mem wait
198
    O_MEM_ADDR  : out slv23;            -- cram: address lines
199
    IO_MEM_DATA : inout slv16;          -- cram: data lines
200 15 wfjm
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
201 2 wfjm
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
202
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
203
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
204 20 wfjm
    O_FUSP_TXD : out slbit;             -- fusp: rs232 tx
205
    I_FX2_IFCLK : in slbit;             -- fx2: interface clock
206
    O_FX2_FIFO : out slv2;              -- fx2: fifo address
207
    I_FX2_FLAG : in slv4;               -- fx2: fifo flags
208
    O_FX2_SLRD_N : out slbit;           -- fx2: read enable    (act.low)
209
    O_FX2_SLWR_N : out slbit;           -- fx2: write enable   (act.low)
210
    O_FX2_SLOE_N : out slbit;           -- fx2: output enable  (act.low)
211
    O_FX2_PKTEND_N : out slbit;         -- fx2: packet end     (act.low)
212
    IO_FX2_DATA : inout slv8            -- fx2: data lines
213 2 wfjm
  );
214
end sys_w11a_n2;
215
 
216
architecture syn of sys_w11a_n2 is
217
 
218 8 wfjm
  signal CLK :   slbit := '0';
219
 
220 30 wfjm
  signal RESET   : slbit := '0';
221
  signal CE_USEC : slbit := '0';
222
  signal CE_MSEC : slbit := '0';
223
 
224 2 wfjm
  signal RXD :   slbit := '1';
225
  signal TXD :   slbit := '0';
226
  signal RTS_N : slbit := '0';
227
  signal CTS_N : slbit := '0';
228
 
229 30 wfjm
  signal RB_MREQ       : rb_mreq_type := rb_mreq_init;
230
  signal RB_SRES       : rb_sres_type := rb_sres_init;
231
  signal RB_SRES_CPU   : rb_sres_type := rb_sres_init;
232
  signal RB_SRES_HIO   : rb_sres_type := rb_sres_init;
233 2 wfjm
 
234
  signal RB_LAM  : slv16 := (others=>'0');
235 27 wfjm
  signal RB_STAT : slv4  := (others=>'0');
236 2 wfjm
 
237 20 wfjm
  signal RLB_MONI : rlb_moni_type := rlb_moni_init;
238 16 wfjm
  signal SER_MONI : serport_moni_type := serport_moni_init;
239 20 wfjm
  signal FX2_MONI : fx2ctl_moni_type  := fx2ctl_moni_init;
240 16 wfjm
 
241 30 wfjm
  signal SWI     : slv8  := (others=>'0');
242
  signal BTN     : slv4  := (others=>'0');
243
  signal LED     : slv8  := (others=>'0');
244
  signal DSP_DAT : slv16 := (others=>'0');
245
  signal DSP_DP  : slv4  := (others=>'0');
246 2 wfjm
 
247 30 wfjm
  signal GRESET  : slbit := '0';        -- general reset (from rbus)
248
  signal CRESET  : slbit := '0';        -- cpu reset     (from cp)
249
  signal BRESET  : slbit := '0';        -- bus reset     (from cp or cpu)
250
  signal ITIMER  : slbit := '0';
251 2 wfjm
 
252
  signal EI_PRI  : slv3   := (others=>'0');
253
  signal EI_VECT : slv9_2 := (others=>'0');
254
  signal EI_ACKM : slbit  := '0';
255
 
256 30 wfjm
  signal CP_STAT : cp_stat_type := cp_stat_init;
257
  signal DM_STAT_DP : dm_stat_dp_type := dm_stat_dp_init;
258 2 wfjm
 
259
  signal MEM_REQ   : slbit := '0';
260
  signal MEM_WE    : slbit := '0';
261
  signal MEM_BUSY  : slbit := '0';
262
  signal MEM_ACK_R : slbit := '0';
263
  signal MEM_ACT_R : slbit := '0';
264
  signal MEM_ACT_W : slbit := '0';
265
  signal MEM_ADDR  : slv20 := (others=>'0');
266
  signal MEM_BE    : slv4  := (others=>'0');
267
  signal MEM_DI    : slv32 := (others=>'0');
268
  signal MEM_DO    : slv32 := (others=>'0');
269
 
270
  signal MEM_ADDR_EXT : slv22 := (others=>'0');
271
 
272
  signal IB_MREQ : ib_mreq_type := ib_mreq_init;
273 30 wfjm
  signal IB_SRES_IBDR : ib_sres_type := ib_sres_init;
274 2 wfjm
 
275
  signal DISPREG : slv16 := (others=>'0');
276 29 wfjm
  signal STATLEDS :  slv8 := (others=>'0');
277
  signal ABCLKDIV : slv16 := (others=>'0');
278 2 wfjm
 
279 28 wfjm
  constant rbaddr_rbmon : slv16 := x"ffe8"; -- ffe8/0008: 1111 1111 1110 1xxx
280
  constant rbaddr_hio   : slv16 := x"fef0"; -- fef0/0004: 1111 1110 1111 00xx
281 2 wfjm
 
282
begin
283
 
284 8 wfjm
  assert (sys_conf_clksys mod 1000000) = 0
285
    report "assert sys_conf_clksys on MHz grid"
286
    severity failure;
287
 
288 30 wfjm
  DCM : dcm_sfs                         -- clock generator -------------------
289 8 wfjm
    generic map (
290
      CLKFX_DIVIDE   => sys_conf_clkfx_divide,
291
      CLKFX_MULTIPLY => sys_conf_clkfx_multiply,
292
      CLKIN_PERIOD   => 20.0)
293
    port map (
294
      CLKIN   => I_CLK50,
295
      CLKFX   => CLK,
296
      LOCKED  => open
297
    );
298
 
299 30 wfjm
  CLKDIV : clkdivce                     -- usec/msec clock divider -----------
300 2 wfjm
    generic map (
301
      CDUWIDTH => 6,
302 8 wfjm
      USECDIV  => sys_conf_clksys_mhz,
303 2 wfjm
      MSECDIV  => 1000)
304
    port map (
305
      CLK     => CLK,
306
      CE_USEC => CE_USEC,
307
      CE_MSEC => CE_MSEC
308
    );
309
 
310 30 wfjm
  IOB_RS232 : bp_rs232_2l4l_iob         -- serport iob/switch ----------------
311 2 wfjm
    port map (
312
      CLK      => CLK,
313 12 wfjm
      RESET    => '0',
314 2 wfjm
      SEL      => SWI(0),
315
      RXD      => RXD,
316
      TXD      => TXD,
317
      CTS_N    => CTS_N,
318
      RTS_N    => RTS_N,
319
      I_RXD0   => I_RXD,
320
      O_TXD0   => O_TXD,
321
      I_RXD1   => I_FUSP_RXD,
322
      O_TXD1   => O_FUSP_TXD,
323
      I_CTS1_N => I_FUSP_CTS_N,
324
      O_RTS1_N => O_FUSP_RTS_N
325
    );
326
 
327 30 wfjm
  RLINK : rlink_sp1c_fx2                -- rlink for serport + fx2 -----------
328 2 wfjm
    generic map (
329 27 wfjm
      BTOWIDTH     => 7,                -- 128 cycles access timeout
330
      RTAWIDTH     => 12,
331
      SYSID        => (others=>'0'),
332 16 wfjm
      IFAWIDTH     => 5,                --  32 word input fifo
333
      OFAWIDTH     => 5,                --  32 word output fifo
334 20 wfjm
      PETOWIDTH    => sys_conf_fx2_petowidth,
335
      CCWIDTH      => sys_conf_fx2_ccwidth,
336 16 wfjm
      ENAPIN_RLMON => sbcntl_sbf_rlmon,
337
      ENAPIN_RBMON => sbcntl_sbf_rbmon,
338
      CDWIDTH      => 13,
339 30 wfjm
      CDINIT       => sys_conf_ser2rri_cdinit,
340
      RBMON_AWIDTH => sys_conf_rbmon_awidth,
341
      RBMON_RBADDR => rbaddr_rbmon)
342 2 wfjm
    port map (
343
      CLK      => CLK,
344
      CE_USEC  => CE_USEC,
345
      CE_MSEC  => CE_MSEC,
346
      CE_INT   => CE_MSEC,
347
      RESET    => RESET,
348 16 wfjm
      ENAXON   => SWI(1),
349 20 wfjm
      ENAFX2   => SWI(2),
350 2 wfjm
      RXSD     => RXD,
351
      TXSD     => TXD,
352
      CTS_N    => CTS_N,
353
      RTS_N    => RTS_N,
354
      RB_MREQ  => RB_MREQ,
355
      RB_SRES  => RB_SRES,
356
      RB_LAM   => RB_LAM,
357 9 wfjm
      RB_STAT  => RB_STAT,
358
      RL_MONI  => open,
359 20 wfjm
      RLB_MONI => RLB_MONI,
360
      SER_MONI => SER_MONI,
361
      FX2_MONI => FX2_MONI,
362
      I_FX2_IFCLK    => I_FX2_IFCLK,
363
      O_FX2_FIFO     => O_FX2_FIFO,
364
      I_FX2_FLAG     => I_FX2_FLAG,
365
      O_FX2_SLRD_N   => O_FX2_SLRD_N,
366
      O_FX2_SLWR_N   => O_FX2_SLWR_N,
367
      O_FX2_SLOE_N   => O_FX2_SLOE_N,
368
      O_FX2_PKTEND_N => O_FX2_PKTEND_N,
369
      IO_FX2_DATA    => IO_FX2_DATA
370 2 wfjm
    );
371
 
372 30 wfjm
  SYS70 : pdp11_sys70                   -- 1 cpu system ----------------------
373 2 wfjm
    port map (
374 30 wfjm
      CLK        => CLK,
375
      RESET      => RESET,
376
      RB_MREQ    => RB_MREQ,
377
      RB_SRES    => RB_SRES_CPU,
378
      RB_STAT    => RB_STAT,
379
      RB_LAM_CPU => RB_LAM(0),
380
      GRESET     => GRESET,
381
      CRESET     => CRESET,
382
      BRESET     => BRESET,
383
      CP_STAT    => CP_STAT,
384
      EI_PRI     => EI_PRI,
385
      EI_VECT    => EI_VECT,
386
      EI_ACKM    => EI_ACKM,
387
      ITIMER     => ITIMER,
388
      IB_MREQ    => IB_MREQ,
389
      IB_SRES    => IB_SRES_IBDR,
390
      MEM_REQ    => MEM_REQ,
391
      MEM_WE     => MEM_WE,
392
      MEM_BUSY   => MEM_BUSY,
393
      MEM_ACK_R  => MEM_ACK_R,
394
      MEM_ADDR   => MEM_ADDR,
395
      MEM_BE     => MEM_BE,
396
      MEM_DI     => MEM_DI,
397
      MEM_DO     => MEM_DO,
398
      DM_STAT_DP => DM_STAT_DP
399 2 wfjm
    );
400
 
401 30 wfjm
  IBDR_SYS : ibdr_maxisys               -- IO system -------------------------
402 2 wfjm
    port map (
403 30 wfjm
      CLK      => CLK,
404
      CE_USEC  => CE_USEC,
405
      CE_MSEC  => CE_MSEC,
406
      RESET    => GRESET,
407
      BRESET   => BRESET,
408
      ITIMER   => ITIMER,
409
      CPUSUSP  => CP_STAT.cpususp,
410
      RB_LAM   => RB_LAM(15 downto 1),
411
      IB_MREQ  => IB_MREQ,
412
      IB_SRES  => IB_SRES_IBDR,
413
      EI_ACKM  => EI_ACKM,
414
      EI_PRI   => EI_PRI,
415
      EI_VECT  => EI_VECT,
416
      DISPREG  => DISPREG
417 29 wfjm
    );
418 30 wfjm
 
419 29 wfjm
  MEM_ADDR_EXT <= "00" & MEM_ADDR;    -- just use lower 4 MB (of 16 MB)
420 2 wfjm
 
421 30 wfjm
  SRAM_CTL: nx_cram_memctl_as           -- memory controller -----------------
422 29 wfjm
    generic map (
423
      READ0DELAY => sys_conf_memctl_read0delay,
424
      READ1DELAY => sys_conf_memctl_read1delay,
425
      WRITEDELAY => sys_conf_memctl_writedelay)
426
    port map (
427
      CLK         => CLK,
428 30 wfjm
      RESET       => GRESET,
429 29 wfjm
      REQ         => MEM_REQ,
430
      WE          => MEM_WE,
431
      BUSY        => MEM_BUSY,
432
      ACK_R       => MEM_ACK_R,
433
      ACK_W       => open,
434
      ACT_R       => MEM_ACT_R,
435
      ACT_W       => MEM_ACT_W,
436
      ADDR        => MEM_ADDR_EXT,
437
      BE          => MEM_BE,
438
      DI          => MEM_DI,
439
      DO          => MEM_DO,
440
      O_MEM_CE_N  => O_MEM_CE_N,
441
      O_MEM_BE_N  => O_MEM_BE_N,
442
      O_MEM_WE_N  => O_MEM_WE_N,
443
      O_MEM_OE_N  => O_MEM_OE_N,
444
      O_MEM_ADV_N => O_MEM_ADV_N,
445
      O_MEM_CLK   => O_MEM_CLK,
446
      O_MEM_CRE   => O_MEM_CRE,
447
      I_MEM_WAIT  => I_MEM_WAIT,
448
      O_MEM_ADDR  => O_MEM_ADDR,
449
      IO_MEM_DATA => IO_MEM_DATA
450
    );
451 2 wfjm
 
452 29 wfjm
  O_FLA_CE_N  <= '1';                   -- keep Flash memory disabled
453 2 wfjm
 
454 30 wfjm
  LED_IO : ioleds_sp1c_fx2              -- hio leds from serport or fx2 ------
455 2 wfjm
    port map (
456 29 wfjm
      CLK      => CLK,
457
      CE_USEC  => CE_USEC,
458 30 wfjm
      RESET    => GRESET,
459 20 wfjm
      ENAFX2   => SWI(2),
460
      RB_SRES  => RB_SRES,
461
      RLB_MONI => RLB_MONI,
462
      SER_MONI => SER_MONI,
463
      IOLEDS   => DSP_DP
464
    );
465
 
466 30 wfjm
  ABCLKDIV <= SER_MONI.abclkdiv(11 downto 0) & '0' & SER_MONI.abclkdiv_f;
467
 
468
  HIO70 : pdp11_hio70                   -- hio from sys70 --------------------
469
    generic map (
470
      LWIDTH => LED'length,
471
      DCWIDTH => 2)
472 29 wfjm
    port map (
473 30 wfjm
      SEL_LED    => SWI(3),
474
      SEL_DSP    => SWI(5 downto 4),
475 29 wfjm
      MEM_ACT_R  => MEM_ACT_R,
476
      MEM_ACT_W  => MEM_ACT_W,
477
      CP_STAT    => CP_STAT,
478
      DM_STAT_DP => DM_STAT_DP,
479 30 wfjm
      ABCLKDIV   => ABCLKDIV,
480
      DISPREG    => DISPREG,
481
      LED        => LED,
482
      DSP_DAT    => DSP_DAT
483 29 wfjm
    );
484 30 wfjm
 
485
  HIO : sn_humanio_rbus                 -- hio manager -----------------------
486 29 wfjm
    generic map (
487 30 wfjm
      DEBOUNCE => sys_conf_hio_debounce,
488
      RB_ADDR  => rbaddr_hio)
489 29 wfjm
    port map (
490 30 wfjm
      CLK     => CLK,
491
      RESET   => RESET,
492
      CE_MSEC => CE_MSEC,
493
      RB_MREQ => RB_MREQ,
494
      RB_SRES => RB_SRES_HIO,
495
      SWI     => SWI,
496
      BTN     => BTN,
497
      LED     => LED,
498
      DSP_DAT => DSP_DAT,
499
      DSP_DP  => DSP_DP,
500
      I_SWI   => I_SWI,
501
      I_BTN   => I_BTN,
502
      O_LED   => O_LED,
503
      O_ANO_N => O_ANO_N,
504
      O_SEG_N => O_SEG_N
505 29 wfjm
    );
506 2 wfjm
 
507 30 wfjm
  RB_SRES_OR : rb_sres_or_2             -- rbus or ---------------------------
508 29 wfjm
    port map (
509 30 wfjm
      RB_SRES_1  => RB_SRES_CPU,
510
      RB_SRES_2  => RB_SRES_HIO,
511
      RB_SRES_OR => RB_SRES
512 29 wfjm
    );
513 30 wfjm
 
514 2 wfjm
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.