OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [vlib/] [rbus/] [rb_mon_sb.vhd] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 wfjm
-- $Id: rb_mon_sb.vhd 589 2014-08-30 12:43:16Z mueller $
2 2 wfjm
--
3 27 wfjm
-- Copyright 2007-2014 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15 9 wfjm
-- Module Name:    rb_mon_sb - sim
16
-- Description:    simbus wrapper for rbus monitor (for tb's)
17 2 wfjm
--
18
-- Dependencies:   simbus
19 17 wfjm
--                 simlib/simclkcnt
20
--                 rb_mon
21 2 wfjm
-- Test bench:     -
22 27 wfjm
-- Tool versions:  xst 8.2-14.7; ghdl 0.18-0.31
23 9 wfjm
--
24 2 wfjm
-- Revision History: 
25
-- Date         Rev Version  Comment
26 27 wfjm
-- 2014-08-28   588   4.0    use new rlink v4 iface and 4 bit STAT
27 17 wfjm
-- 2011-12-23   444   3.1    use simclkcnt instead of simbus global
28 9 wfjm
-- 2010-12-22   346   3.0    renamed rritb_rbmon_sb -> rb_mon_sb
29 2 wfjm
-- 2010-06-05   301   2.0.2  renamed _rpmon -> _rbmon
30
-- 2010-05-02   287   2.0.1  rename RP_STAT->RB_STAT,AP_LAM->RB_LAM
31
--                           drop RP_IINT signal from interfaces
32
--                           use sbcntl_sbf_cpmon def
33
-- 2008-08-24   162   2.0    with new rb_mreq/rb_sres interface
34
-- 2007-12-23   105   1.2    added AP_LAM display
35
-- 2007-11-24    98   1.1    added RP_IINT support
36
-- 2007-08-27    76   1.0    Initial version 
37
------------------------------------------------------------------------------
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
 
42
use work.slvtypes.all;
43
use work.simlib.all;
44
use work.simbus.all;
45 9 wfjm
use work.rblib.all;
46 2 wfjm
 
47 9 wfjm
entity rb_mon_sb is                     -- simbus wrapper for rbus monitor
48 2 wfjm
  generic (
49
    DBASE : positive :=  2;             -- base for writing data values
50
    ENAPIN : integer := sbcntl_sbf_rbmon); -- SB_CNTL signal to use for enable
51
  port (
52
    CLK  : in slbit;                    -- clock
53
    RB_MREQ : in rb_mreq_type;          -- rbus: request
54
    RB_SRES : in rb_sres_type;          -- rbus: response
55
    RB_LAM : in slv16 := (others=>'0'); -- rbus: look at me
56 27 wfjm
    RB_STAT : in slv4                   -- rbus: status flags
57 2 wfjm
  );
58 9 wfjm
end rb_mon_sb;
59 2 wfjm
 
60
 
61 9 wfjm
architecture sim of rb_mon_sb is
62 2 wfjm
 
63
  signal ENA : slbit := '0';
64 17 wfjm
  signal CLK_CYCLE : integer := 0;
65 2 wfjm
 
66
begin
67
 
68
  assert ENAPIN>=SB_CNTL'low and ENAPIN<=SB_CNTL'high
69
    report "assert(ENAPIN in SB_CNTL'range)" severity failure;
70
 
71 17 wfjm
  CLKCNT : simclkcnt port map (CLK => CLK, CLK_CYCLE => CLK_CYCLE);
72
 
73 2 wfjm
  ENA <= to_x01(SB_CNTL(ENAPIN));
74
 
75 9 wfjm
  RBMON : rb_mon
76 2 wfjm
    generic map (
77
      DBASE => DBASE)
78
    port map (
79
      CLK       => CLK,
80 17 wfjm
      CLK_CYCLE => CLK_CYCLE,
81 2 wfjm
      ENA       => ENA,
82
      RB_MREQ   => RB_MREQ,
83
      RB_SRES   => RB_SRES,
84
      RB_LAM    => RB_LAM,
85
      RB_STAT   => RB_STAT
86
    );
87
 
88
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.