OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [vlib/] [rbus/] [rb_sres_or_3.vhd] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 wfjm
-- $Id: rb_sres_or_3.vhd 641 2015-02-01 22:12:15Z mueller $
2 2 wfjm
--
3
-- Copyright 2008-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    rb_sres_or_3 - syn
16 9 wfjm
-- Description:    rbus result or, 3 input
17 2 wfjm
--
18 9 wfjm
-- Dependencies:   rb_sres_or_mon    [sim only]
19 2 wfjm
-- Test bench:     -
20
-- Target Devices: generic
21 29 wfjm
-- Tool versions:  ise 8.1-14.7; viv 2014.4; ghdl 0.18-0.31
22 9 wfjm
--
23 2 wfjm
-- Revision History: 
24
-- Date         Rev Version  Comment
25 9 wfjm
-- 2010-12-04   343   1.1.1  use now rb_sres_or_mon
26 2 wfjm
-- 2010-06-26   309   1.1    add rritb_sres_or_mon
27
-- 2008-08-22   161   1.0.1  renamed rri_rbres_ -> rb_sres_
28
-- 2008-01-20   113   1.0    Initial version 
29
------------------------------------------------------------------------------
30
 
31
library ieee;
32
use ieee.std_logic_1164.all;
33
 
34
use work.slvtypes.all;
35 9 wfjm
use work.rblib.all;
36 2 wfjm
 
37
-- ----------------------------------------------------------------------------
38
 
39 9 wfjm
entity rb_sres_or_3 is                  -- rbus result or, 3 input
40 2 wfjm
  port (
41
    RB_SRES_1  :  in rb_sres_type;                 -- rb_sres input 1
42
    RB_SRES_2  :  in rb_sres_type := rb_sres_init; -- rb_sres input 2
43
    RB_SRES_3  :  in rb_sres_type := rb_sres_init; -- rb_sres input 3
44
    RB_SRES_OR : out rb_sres_type       -- rb_sres or'ed output
45
  );
46
end rb_sres_or_3;
47
 
48
architecture syn of rb_sres_or_3 is
49
 
50
begin
51
 
52
  proc_comb : process (RB_SRES_1, RB_SRES_2, RB_SRES_3)
53
  begin
54
 
55
    RB_SRES_OR.ack  <= RB_SRES_1.ack or
56
                       RB_SRES_2.ack or
57
                       RB_SRES_3.ack;
58
    RB_SRES_OR.busy <= RB_SRES_1.busy or
59
                       RB_SRES_2.busy or
60
                       RB_SRES_3.busy;
61
    RB_SRES_OR.err  <= RB_SRES_1.err or
62
                       RB_SRES_2.err or
63
                       RB_SRES_3.err;
64
    RB_SRES_OR.dout <= RB_SRES_1.dout or
65
                       RB_SRES_2.dout or
66
                       RB_SRES_3.dout;
67
 
68
  end process proc_comb;
69
 
70
-- synthesis translate_off
71 9 wfjm
  ORMON : rb_sres_or_mon
72 2 wfjm
    port map (
73
      RB_SRES_1 => RB_SRES_1,
74
      RB_SRES_2 => RB_SRES_2,
75
      RB_SRES_3 => RB_SRES_3,
76
      RB_SRES_4 => rb_sres_init
77
    );
78
-- synthesis translate_on
79
 
80
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.