OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [vlib/] [rlink/] [rlink_core8.vhd] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 wfjm
-- $Id: rlink_core8.vhd 666 2015-04-12 21:17:54Z mueller $
2 16 wfjm
--
3 27 wfjm
-- Copyright 2011-2014 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 16 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
-- 
14
------------------------------------------------------------------------------
15
-- Module Name:    rlink_core8 - syn
16
-- Description:    rlink core with 8bit interface (core+b2c/c2b+rlmon+rbmon)
17
--
18
-- Dependencies:   rlink_core
19
--                 comlib/byte2cdata
20
--                 comlib/cdata2byte
21 27 wfjm
--                 rlink_mon_sb    [sim only, for 8bit level]
22 16 wfjm
--
23
-- Test bench:     -
24
--
25
-- Target Devices: generic
26 29 wfjm
-- Tool versions:  ise 13.1-14.7; viv 2014.4; ghdl 0.29-0.31
27 16 wfjm
--
28
-- Synthesized (xst):
29
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
30 27 wfjm
-- 2014-12-05   596 14.7  131013 xc6slx16-2   352  492   24  176 s  7.0 ver 4.0
31 16 wfjm
-- 2011-12-09   437 13.1    O40d xc3s1000-4   184  403    0  244 s  9.1
32
--
33
-- Revision History: 
34
-- Date         Rev Version  Comment
35 30 wfjm
-- 2015-04-11   666   4.1    add ESCXON,ESCFILL in signals, for cdata2byte
36 27 wfjm
-- 2014-10-12   596   4.0    now rlink v4 iface, 4 bit STAT
37 16 wfjm
-- 2011-12-09   437   1.0    Initial version
38
------------------------------------------------------------------------------
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
use ieee.numeric_std.all;
43
 
44
use work.slvtypes.all;
45
use work.comlib.all;
46
use work.rblib.all;
47
use work.rlinklib.all;
48
 
49
entity rlink_core8 is                   -- rlink core with 8bit interface
50
  generic (
51 27 wfjm
    BTOWIDTH : positive :=  5;          -- rbus timeout counter width
52
    RTAWIDTH : positive :=  12;         -- retransmit buffer address width
53
    SYSID : slv32 := (others=>'0');     -- rlink system id
54
    ENAPIN_RLMON : integer := -1;       -- SB_CNTL for rlmon  (-1=none)
55
    ENAPIN_RLBMON: integer := -1;       -- SB_CNTL for rlbmon (-1=none)
56
    ENAPIN_RBMON : integer := -1);      -- SB_CNTL for rbmon  (-1=none)
57 16 wfjm
  port (
58
    CLK  : in slbit;                    -- clock
59 27 wfjm
    CE_INT : in slbit := '0';           -- rlink ato time unit clock enable
60 16 wfjm
    RESET  : in slbit;                  -- reset
61 30 wfjm
    ESCXON : in slbit;                  -- enable xon/xoff escaping
62
    ESCFILL : in slbit;                 -- enable fill escaping
63 16 wfjm
    RLB_DI : in slv8;                   -- rlink 8b: data in
64
    RLB_ENA : in slbit;                 -- rlink 8b: data enable
65
    RLB_BUSY : out slbit;               -- rlink 8b: data busy
66
    RLB_DO : out slv8;                  -- rlink 8b: data out
67
    RLB_VAL : out slbit;                -- rlink 8b: data valid
68
    RLB_HOLD : in slbit;                -- rlink 8b: data hold
69
    RL_MONI : out rl_moni_type;         -- rlink: monitor port
70
    RB_MREQ : out rb_mreq_type;         -- rbus: request
71
    RB_SRES : in rb_sres_type;          -- rbus: response
72
    RB_LAM : in slv16;                  -- rbus: look at me
73 27 wfjm
    RB_STAT : in slv4                   -- rbus: status flags
74 16 wfjm
  );
75
end entity rlink_core8;
76
 
77
 
78
architecture syn of rlink_core8 is
79
 
80
  signal RL_DI   : slv9 := (others=>'0');
81
  signal RL_ENA  : slbit := '0';
82
  signal RL_BUSY : slbit := '0';
83
  signal RL_DO   : slv9 := (others=>'0');
84
  signal RL_VAL  : slbit := '0';
85
  signal RL_HOLD : slbit := '0';
86 27 wfjm
  signal RLB_BUSY_L : slbit := '0';
87
  signal RLB_DO_L   : slv8  := (others=>'0');
88
  signal RLB_VAL_L  : slbit := '0';
89 16 wfjm
 
90
begin
91
 
92
  RL : rlink_core
93
    generic map (
94 27 wfjm
      BTOWIDTH => BTOWIDTH,
95
      RTAWIDTH => RTAWIDTH,
96
      SYSID    => SYSID,
97
      ENAPIN_RLMON => ENAPIN_RLMON,
98
      ENAPIN_RBMON => ENAPIN_RBMON)
99 16 wfjm
    port map (
100
      CLK      => CLK,
101
      CE_INT   => CE_INT,
102
      RESET    => RESET,
103
      RL_DI    => RL_DI,
104
      RL_ENA   => RL_ENA,
105
      RL_BUSY  => RL_BUSY,
106
      RL_DO    => RL_DO,
107
      RL_VAL   => RL_VAL,
108
      RL_HOLD  => RL_HOLD,
109
      RL_MONI  => RL_MONI,
110 27 wfjm
      RB_MREQ  => RB_MREQ,
111 16 wfjm
      RB_SRES  => RB_SRES,
112
      RB_LAM   => RB_LAM,
113
      RB_STAT  => RB_STAT
114
    );
115
 
116
-- RLB -> RL converter (DI handling) -------------
117
  B2CD : byte2cdata                     -- byte stream -> 9bit comma,data
118
    port map (
119
      CLK   => CLK,
120
      RESET => RESET,
121
      DI    => RLB_DI,
122
      ENA   => RLB_ENA,
123 27 wfjm
      ERR   => '0',
124
      BUSY  => RLB_BUSY_L,
125 16 wfjm
      DO    => RL_DI,
126
      VAL   => RL_ENA,
127
      HOLD  => RL_BUSY
128
    );
129
 
130
-- RL -> RLB converter (DO handling) -------------
131
  CD2B : cdata2byte                     -- 9bit comma,data -> byte stream
132
    port map (
133 27 wfjm
      CLK     => CLK,
134
      RESET   => RESET,
135 30 wfjm
      ESCXON  => ESCXON,
136
      ESCFILL => ESCFILL,
137 27 wfjm
      DI      => RL_DO,
138
      ENA     => RL_VAL,
139
      BUSY    => RL_HOLD,
140
      DO      => RLB_DO_L,
141
      VAL     => RLB_VAL_L,
142
      HOLD    => RLB_HOLD
143 16 wfjm
    );
144 27 wfjm
 
145
  RLB_BUSY <= RLB_BUSY_L;
146
  RLB_DO   <= RLB_DO_L;
147
  RLB_VAL  <= RLB_VAL_L;
148 16 wfjm
 
149
-- synthesis translate_off
150
 
151 27 wfjm
  RLBMON: if ENAPIN_RLBMON >= 0  generate
152 16 wfjm
    MON : rlink_mon_sb
153
      generic map (
154 27 wfjm
        DWIDTH => RLB_DI'length,
155
        ENAPIN => ENAPIN_RLBMON)
156 16 wfjm
      port map (
157
        CLK     => CLK,
158 27 wfjm
        RL_DI   => RLB_DI,
159
        RL_ENA  => RLB_ENA,
160
        RL_BUSY => RLB_BUSY_L,
161
        RL_DO   => RLB_DO_L,
162
        RL_VAL  => RLB_VAL_L,
163
        RL_HOLD => RLB_HOLD
164 16 wfjm
      );
165 27 wfjm
  end generate RLBMON;
166 16 wfjm
 
167
-- synthesis translate_on
168
 
169
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.