OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [vlib/] [rlink/] [rlink_sp1c.vhd] - Blame information for rev 29

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 wfjm
-- $Id: rlink_sp1c.vhd 641 2015-02-01 22:12:15Z mueller $
2 16 wfjm
--
3 27 wfjm
-- Copyright 2011-2014 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 16 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
-- 
14
------------------------------------------------------------------------------
15
-- Module Name:    rlink_sp1c - syn
16
-- Description:    rlink_core8 + serport_1clock combo
17
--
18
-- Dependencies:   rlink_core8
19
--                 serport/serport_1clock
20
--
21
-- Test bench:     -
22
--
23
-- Target Devices: generic
24 29 wfjm
-- Tool versions:  ise 13.1-14.7; viv 2014.4; ghdl 0.29-0.31
25 16 wfjm
--
26
-- Synthesized (xst):
27
-- Date         Rev  ise         Target      flop lutl lutm slic t peri ifa ofa
28
-- 2011-12-09   437 13.1    O40d xc3s1000-4   337  733   64  469 s  9.8   -   -
29
--
30
-- Revision History: 
31
-- Date         Rev Version  Comment
32 27 wfjm
-- 2014-08-28   588   4.0    use rlink v4 iface, 4 bit STAT
33 16 wfjm
-- 2011-12-09   437   1.0    Initial version 
34
------------------------------------------------------------------------------
35
 
36
library ieee;
37
use ieee.std_logic_1164.all;
38
use ieee.numeric_std.all;
39
 
40
use work.slvtypes.all;
41
use work.rblib.all;
42
use work.rlinklib.all;
43 19 wfjm
use work.serportlib.all;
44 16 wfjm
 
45
entity rlink_sp1c is                    -- rlink_core8+serport_1clock combo
46
  generic (
47 27 wfjm
    BTOWIDTH : positive :=  5;          -- rbus timeout counter width
48
    RTAWIDTH : positive :=  12;         -- retransmit buffer address width
49
    SYSID : slv32 := (others=>'0');     -- rlink system id
50 16 wfjm
    IFAWIDTH : natural :=  5;           -- input fifo address width  (0=none)
51
    OFAWIDTH : natural :=  5;           -- output fifo address width (0=none)
52 27 wfjm
    ENAPIN_RLMON : integer := -1;       -- SB_CNTL for rlmon  (-1=none)
53
    ENAPIN_RLBMON: integer := -1;       -- SB_CNTL for rlbmon (-1=none)
54
    ENAPIN_RBMON : integer := -1;       -- SB_CNTL for rbmon  (-1=none)
55 16 wfjm
    CDWIDTH : positive := 13;           -- clk divider width
56
    CDINIT : natural   := 15);          -- clk divider initial/reset setting
57
  port (
58
    CLK  : in slbit;                    -- clock
59
    CE_USEC : in slbit;                 -- 1 usec clock enable
60
    CE_MSEC : in slbit;                 -- 1 msec clock enable
61 27 wfjm
    CE_INT : in slbit := '0';           -- rri ato time unit clock enable
62 16 wfjm
    RESET  : in slbit;                  -- reset
63
    ENAXON : in slbit;                  -- enable xon/xoff handling
64
    ENAESC : in slbit;                  -- enable xon/xoff escaping
65
    RXSD : in slbit;                    -- receive serial data      (board view)
66
    TXSD : out slbit;                   -- transmit serial data     (board view)
67
    CTS_N : in slbit := '0';            -- clear to send   (act.low, board view)
68
    RTS_N : out slbit;                  -- request to send (act.low, board view)
69
    RB_MREQ : out rb_mreq_type;         -- rbus: request
70
    RB_SRES : in rb_sres_type;          -- rbus: response
71
    RB_LAM : in slv16;                  -- rbus: look at me
72 27 wfjm
    RB_STAT : in slv4;                  -- rbus: status flags
73 16 wfjm
    RL_MONI : out rl_moni_type;         -- rlink_core: monitor port
74
    SER_MONI : out serport_moni_type    -- serport: monitor port
75
  );
76
end entity rlink_sp1c;
77
 
78
 
79
architecture syn of rlink_sp1c is
80
 
81
  signal RLB_DI : slv8 := (others=>'0');
82
  signal RLB_ENA : slbit := '0';
83
  signal RLB_BUSY : slbit := '0';
84
  signal RLB_DO : slv8 := (others=>'0');
85
  signal RLB_VAL : slbit := '0';
86
  signal RLB_HOLD : slbit := '0';
87
 
88
begin
89
 
90
  CORE : rlink_core8
91
    generic map (
92 27 wfjm
      BTOWIDTH     => BTOWIDTH,
93
      RTAWIDTH     => RTAWIDTH,
94
      SYSID        => SYSID,
95 16 wfjm
      ENAPIN_RLMON => ENAPIN_RLMON,
96 27 wfjm
      ENAPIN_RLBMON=> ENAPIN_RLBMON,
97 16 wfjm
      ENAPIN_RBMON => ENAPIN_RBMON)
98
    port map (
99
      CLK        => CLK,
100
      CE_INT     => CE_INT,
101
      RESET      => RESET,
102
      RLB_DI     => RLB_DI,
103
      RLB_ENA    => RLB_ENA,
104
      RLB_BUSY   => RLB_BUSY,
105
      RLB_DO     => RLB_DO,
106
      RLB_VAL    => RLB_VAL,
107
      RLB_HOLD   => RLB_HOLD,
108
      RL_MONI    => RL_MONI,
109
      RB_MREQ    => RB_MREQ,
110
      RB_SRES    => RB_SRES,
111
      RB_LAM     => RB_LAM,
112
      RB_STAT    => RB_STAT
113
    );
114
 
115
  SERPORT : serport_1clock
116
    generic map (
117
      CDWIDTH   => CDWIDTH,
118
      CDINIT    => CDINIT,
119
      RXFAWIDTH => IFAWIDTH,
120
      TXFAWIDTH => OFAWIDTH)
121
    port map (
122
      CLK      => CLK,
123
      CE_MSEC  => CE_MSEC,
124
      RESET    => RESET,
125
      ENAXON   => ENAXON,
126
      ENAESC   => ENAESC,
127
      RXDATA   => RLB_DI,
128
      RXVAL    => RLB_ENA,
129
      RXHOLD   => RLB_BUSY,
130
      TXDATA   => RLB_DO,
131
      TXENA    => RLB_VAL,
132
      TXBUSY   => RLB_HOLD,
133
      MONI     => SER_MONI,
134
      RXSD     => RXSD,
135
      TXSD     => TXSD,
136
      RXRTS_N  => RTS_N,
137
      TXCTS_N  => CTS_N
138
    );
139
 
140
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.