OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [vlib/] [xlib/] [s6_cmt_sfs_unisim.vhd] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 wfjm
-- $Id: s6_cmt_sfs_unisim.vhd 649 2015-02-21 21:10:16Z mueller $
2 22 wfjm
--
3
-- Copyright 2013- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    s6_cmt_sfs - syn
16
-- Description:    Spartan-6 CMT for simple frequency synthesis
17
--                 Direct instantiation of Xilinx UNISIM primitives
18
--
19
-- Dependencies:   -
20
-- Test bench:     -
21
-- Target Devices: generic Spartan-6
22 29 wfjm
-- Tool versions:  xst 14.5-14.7; ghdl 0.29-0.31
23 22 wfjm
--
24
-- Revision History: 
25
-- Date         Rev Version  Comment
26
-- 2013-10-05   537   1.0    Initial version (derived from s7_cmt_sfs)
27
------------------------------------------------------------------------------
28
 
29
library ieee;
30
use ieee.std_logic_1164.all;
31
 
32
library unisim;
33
use unisim.vcomponents.ALL;
34
 
35
use work.slvtypes.all;
36
 
37
entity s6_cmt_sfs is                    -- Spartan-6 CMT for simple freq. synth.
38
  generic (
39
    VCO_DIVIDE : positive := 1;         -- vco clock divide
40
    VCO_MULTIPLY : positive := 1;       -- vco clock multiply 
41
    OUT_DIVIDE : positive := 1;         -- output divide
42
    CLKIN_PERIOD : real := 10.0;        -- CLKIN period (def is 10.0 ns)
43
    CLKIN_JITTER : real := 0.01;        -- CLKIN jitter (def is 10 ps)
44
    STARTUP_WAIT : boolean := false;    -- hold FPGA startup till LOCKED
45
    GEN_TYPE : string := "PLL");        -- PLL or DCM
46
  port (
47
    CLKIN : in slbit;                   -- clock input
48
    CLKFX : out slbit;                  -- clock output (synthesized freq.) 
49
    LOCKED : out slbit                  -- pll/dcm locked
50
  );
51
end s6_cmt_sfs;
52
 
53
 
54
architecture syn of s6_cmt_sfs is
55
 
56
begin
57
 
58
  assert GEN_TYPE = "PLL" or GEN_TYPE = "DCM"
59
    report "assert(GEN_TYPE='PLL' or GEN_TYPE='DCM')"
60
    severity failure;
61
 
62
  NOGEN: if VCO_DIVIDE=1 and VCO_MULTIPLY=1 and OUT_DIVIDE=1 generate
63
    CLKFX  <= CLKIN;
64
    LOCKED <= '1';
65
  end generate NOGEN;
66
 
67
  USEPLL: if GEN_TYPE = "PLL" and
68
             not(VCO_DIVIDE=1 and VCO_MULTIPLY=1 and OUT_DIVIDE=1) generate
69
 
70
    signal CLKFBOUT         : slbit;
71
    signal CLKOUT0          : slbit;
72
    signal CLKOUT1_UNUSED   : slbit;
73
    signal CLKOUT2_UNUSED   : slbit;
74
    signal CLKOUT3_UNUSED   : slbit;
75
    signal CLKOUT4_UNUSED   : slbit;
76
    signal CLKOUT5_UNUSED   : slbit;
77
 
78
  begin
79
 
80
    PLL : pll_base
81
      generic map (
82
        BANDWIDTH            => "OPTIMIZED",
83
        CLK_FEEDBACK         => "CLKFBOUT",
84
        COMPENSATION         => "INTERNAL",
85
        DIVCLK_DIVIDE        => VCO_DIVIDE,
86
        CLKFBOUT_MULT        => VCO_MULTIPLY,
87
        CLKFBOUT_PHASE       => 0.000,
88
        CLKOUT0_DIVIDE       => OUT_DIVIDE,
89
        CLKOUT0_PHASE        => 0.000,
90
        CLKOUT0_DUTY_CYCLE   => 0.500,
91
        CLKIN_PERIOD         => CLKIN_PERIOD,
92
        REF_JITTER           => CLKIN_JITTER)
93
      port map (
94
        CLKFBOUT            => CLKFBOUT,
95
        CLKOUT0             => CLKOUT0,
96
        CLKOUT1             => CLKOUT1_UNUSED,
97
        CLKOUT2             => CLKOUT2_UNUSED,
98
        CLKOUT3             => CLKOUT3_UNUSED,
99
        CLKOUT4             => CLKOUT4_UNUSED,
100
        CLKOUT5             => CLKOUT5_UNUSED,
101
        CLKFBIN             => CLKFBOUT,
102
        CLKIN               => CLKIN,
103
        LOCKED              => LOCKED,
104
        RST                 => '0'
105
      );
106
 
107
    BUFG_CLKOUT : bufg
108
      port map (
109
        I => CLKOUT0,
110
        O => CLKFX
111
      );
112
 
113
  end generate USEPLL;
114
 
115
  USEDCM: if GEN_TYPE = "DCM" and
116
             not(VCO_DIVIDE=1 and VCO_MULTIPLY=1 and OUT_DIVIDE=1)  generate
117
 
118
    signal CLKOUT0          : slbit;
119
 
120
  begin
121
 
122
    DCM : dcm_sp
123
      generic map (
124
        CLK_FEEDBACK       => "NONE",
125
        CLKFX_DIVIDE       => VCO_DIVIDE,
126
        CLKFX_MULTIPLY     => VCO_MULTIPLY,
127
        CLKIN_DIVIDE_BY_2  => false,
128
        CLKIN_PERIOD       => CLKIN_PERIOD,
129
        CLKOUT_PHASE_SHIFT => "NONE",
130
        DESKEW_ADJUST      => "SYSTEM_SYNCHRONOUS",
131
        DSS_MODE           => "NONE",
132
        STARTUP_WAIT       => STARTUP_WAIT)
133
      port map (
134
        CLKIN   => CLKIN,
135
        CLKFX   => CLKOUT0,
136
        LOCKED  => LOCKED
137
      );
138
 
139
    BUFG_CLKOUT : bufg
140
      port map (
141
        I => CLKOUT0,
142
        O => CLKFX
143
      );
144
 
145
  end generate USEDCM;
146
 
147
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.