OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [vlib/] [xlib/] [s7_cmt_sfs_unisim.vhd] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 wfjm
-- $Id: s7_cmt_sfs_unisim.vhd 641 2015-02-01 22:12:15Z mueller $
2
--
3
-- Copyright 2013- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    s7_cmt_sfs - syn
16
-- Description:    Series-7 CMT for simple frequency synthesis
17
--                 Direct instantiation of Xilinx UNISIM primitives
18
--
19
-- Dependencies:   -
20
-- Test bench:     -
21
-- Target Devices: generic Series-7
22
-- Tool versions:  ise 14.5-14.7; viv 2014.4; ghdl 0.29-0.31
23
--
24
-- Revision History: 
25
-- Date         Rev Version  Comment
26
-- 2013-09-28   535   1.0    Initial version 
27
------------------------------------------------------------------------------
28
 
29
library ieee;
30
use ieee.std_logic_1164.all;
31
 
32
library unisim;
33
use unisim.vcomponents.ALL;
34
 
35
use work.slvtypes.all;
36
 
37
entity s7_cmt_sfs is                    -- 7-Series CMT for simple freq. synth.
38
  generic (
39
    VCO_DIVIDE : positive := 1;         -- vco clock divide
40
    VCO_MULTIPLY : positive := 1;       -- vco clock multiply 
41
    OUT_DIVIDE : positive := 1;         -- output divide
42
    CLKIN_PERIOD : real := 10.0;        -- CLKIN period (def is 10.0 ns)
43
    CLKIN_JITTER : real := 0.01;        -- CLKIN jitter (def is 10 ps)
44
    STARTUP_WAIT : boolean := false;    -- hold FPGA startup till LOCKED
45
    GEN_TYPE : string := "PLL");        -- PLL or MMCM
46
  port (
47
    CLKIN : in slbit;                   -- clock input
48
    CLKFX : out slbit;                  -- clock output (synthesized freq.) 
49
    LOCKED : out slbit                  -- pll/mmcm locked
50
  );
51
end s7_cmt_sfs;
52
 
53
 
54
architecture syn of s7_cmt_sfs is
55
 
56
begin
57
 
58
  assert GEN_TYPE = "PLL" or GEN_TYPE = "MMCM"
59
    report "assert(GEN_TYPE='PLL' or GEN_TYPE='MMCM')"
60
    severity failure;
61
 
62
  NOGEN: if VCO_DIVIDE=1 and VCO_MULTIPLY=1 and OUT_DIVIDE=1 generate
63
    CLKFX  <= CLKIN;
64
    LOCKED <= '1';
65
  end generate NOGEN;
66
 
67
  USEPLL: if GEN_TYPE = "PLL" and
68
             not(VCO_DIVIDE=1 and VCO_MULTIPLY=1 and OUT_DIVIDE=1) generate
69
 
70
    signal CLKFBOUT         : slbit;
71
    signal CLKFBOUT_BUF     : slbit;
72
    signal CLKOUT0          : slbit;
73
    signal CLKOUT1_UNUSED   : slbit;
74
    signal CLKOUT2_UNUSED   : slbit;
75
    signal CLKOUT3_UNUSED   : slbit;
76
    signal CLKOUT4_UNUSED   : slbit;
77
    signal CLKOUT5_UNUSED   : slbit;
78
    signal CLKOUT6_UNUSED   : slbit;
79
 
80
    pure function bool2string (val : boolean) return string is
81
    begin
82
      if val then
83
        return "TRUE";
84
      else
85
        return "FALSE";
86
      end if;
87
    end function bool2string;
88
 
89
  begin
90
 
91
    PLL : PLLE2_BASE
92
      generic map (
93
        BANDWIDTH            => "OPTIMIZED",
94
        DIVCLK_DIVIDE        => VCO_DIVIDE,
95
        CLKFBOUT_MULT        => VCO_MULTIPLY,
96
        CLKFBOUT_PHASE       => 0.000,
97
        CLKOUT0_DIVIDE       => OUT_DIVIDE,
98
        CLKOUT0_PHASE        => 0.000,
99
        CLKOUT0_DUTY_CYCLE   => 0.500,
100
        CLKIN1_PERIOD        => CLKIN_PERIOD,
101
        REF_JITTER1          => CLKIN_JITTER,
102
        STARTUP_WAIT         => bool2string(STARTUP_WAIT))
103
      port map (
104
        CLKFBOUT            => CLKFBOUT,
105
        CLKOUT0             => CLKOUT0,
106
        CLKOUT1             => CLKOUT1_UNUSED,
107
        CLKOUT2             => CLKOUT2_UNUSED,
108
        CLKOUT3             => CLKOUT3_UNUSED,
109
        CLKOUT4             => CLKOUT4_UNUSED,
110
        CLKOUT5             => CLKOUT5_UNUSED,
111
        CLKFBIN             => CLKFBOUT_BUF,
112
        CLKIN1              => CLKIN,
113
        LOCKED              => LOCKED,
114
        PWRDWN              => '0',
115
        RST                 => '0'
116
      );
117
 
118
    BUFG_CLKFB : BUFG
119
      port map (
120
        I => CLKFBOUT,
121
        O => CLKFBOUT_BUF
122
      );
123
 
124
    BUFG_CLKOUT : BUFG
125
      port map (
126
        I => CLKOUT0,
127
        O => CLKFX
128
      );
129
 
130
  end generate USEPLL;
131
 
132
  USEMMCM: if GEN_TYPE = "MMCM" and
133
             not(VCO_DIVIDE=1 and VCO_MULTIPLY=1 and OUT_DIVIDE=1) generate
134
 
135
    signal CLKFBOUT         : slbit;
136
    signal CLKFBOUT_BUF     : slbit;
137
    signal CLKFBOUTB_UNUSED : slbit;
138
    signal CLKOUT0          : slbit;
139
    signal CLKOUT0B_UNUSED  : slbit;
140
    signal CLKOUT1_UNUSED   : slbit;
141
    signal CLKOUT1B_UNUSED  : slbit;
142
    signal CLKOUT2_UNUSED   : slbit;
143
    signal CLKOUT2B_UNUSED  : slbit;
144
    signal CLKOUT3_UNUSED   : slbit;
145
    signal CLKOUT3B_UNUSED  : slbit;
146
    signal CLKOUT4_UNUSED   : slbit;
147
    signal CLKOUT5_UNUSED   : slbit;
148
    signal CLKOUT6_UNUSED   : slbit;
149
 
150
  begin
151
 
152
    MMCM : MMCME2_BASE
153
      generic map (
154
        BANDWIDTH            => "OPTIMIZED",
155
        DIVCLK_DIVIDE        => VCO_DIVIDE,
156
        CLKFBOUT_MULT_F      => real(VCO_MULTIPLY),
157
        CLKFBOUT_PHASE       => 0.000,
158
        CLKOUT0_DIVIDE_F     => real(OUT_DIVIDE),
159
        CLKOUT0_PHASE        => 0.000,
160
        CLKOUT0_DUTY_CYCLE   => 0.500,
161
        CLKIN1_PERIOD        => CLKIN_PERIOD,
162
        REF_JITTER1          => CLKIN_JITTER,
163
        STARTUP_WAIT         => STARTUP_WAIT)
164
      port map (
165
        CLKFBOUT            => CLKFBOUT,
166
        CLKFBOUTB           => CLKFBOUTB_UNUSED,
167
        CLKOUT0             => CLKOUT0,
168
        CLKOUT0B            => CLKOUT0B_UNUSED,
169
        CLKOUT1             => CLKOUT1_UNUSED,
170
        CLKOUT1B            => CLKOUT1B_UNUSED,
171
        CLKOUT2             => CLKOUT2_UNUSED,
172
        CLKOUT2B            => CLKOUT2B_UNUSED,
173
        CLKOUT3             => CLKOUT3_UNUSED,
174
        CLKOUT3B            => CLKOUT3B_UNUSED,
175
        CLKOUT4             => CLKOUT4_UNUSED,
176
        CLKOUT5             => CLKOUT5_UNUSED,
177
        CLKFBIN             => CLKFBOUT_BUF,
178
        CLKIN1              => CLKIN,
179
        LOCKED              => LOCKED,
180
        PWRDWN              => '0',
181
        RST                 => '0'
182
      );
183
 
184
    BUFG_CLKFB : BUFG
185
      port map (
186
        I => CLKFBOUT,
187
        O => CLKFBOUT_BUF
188
      );
189
 
190
    BUFG_CLKOUT : BUFG
191
      port map (
192
        I => CLKOUT0,
193
        O => CLKFX
194
      );
195
 
196
  end generate USEMMCM;
197
 
198
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.