OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [w11a/] [pdp11_dpath.vhd] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 wfjm
-- $Id: pdp11_dpath.vhd 677 2015-05-09 21:52:32Z mueller $
2 2 wfjm
--
3 25 wfjm
-- Copyright 2006-2014 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_dpath - syn
16
-- Description:    pdp11: CPU datapath
17
--
18
-- Dependencies:   pdp11_gpr
19
--                 pdp11_psr
20 8 wfjm
--                 pdp11_ounit
21
--                 pdp11_aunit
22
--                 pdp11_lunit
23
--                 pdp11_munit
24 2 wfjm
--
25
-- Test bench:     tb/tb_pdp11_core (implicit)
26
-- Target Devices: generic
27 29 wfjm
-- Tool versions:  ise 8.2-14.7; viv 2014.4; ghdl 0.18-0.31
28 25 wfjm
--
29 2 wfjm
-- Revision History: 
30
-- Date         Rev Version  Comment
31 27 wfjm
-- 2014-08-10   581   1.2.4  use c_cc_f_*
32 25 wfjm
-- 2014-07-12   569   1.2.3  use DIV_QUIT and S_DIV_SR for pdp11_munit
33 13 wfjm
-- 2011-11-18   427   1.2.2  now numeric_std clean
34 8 wfjm
-- 2010-09-18   300   1.2.1  rename (adlm)box->(oalm)unit
35 2 wfjm
-- 2010-06-13   305   1.2    rename CPDIN -> CP_DIN; add CP_DOUT out port;
36
--                           remove CPADDR out port; drop R_CPADDR, proc_cpaddr;
37
--                           added R_CPDOUT, proc_cpdout
38
-- 2009-05-30   220   1.1.6  final removal of snoopers (were already commented)
39
-- 2008-12-14   177   1.1.5  fill gpr_* fields in DM_STAT_DP
40
-- 2008-08-22   161   1.1.4  rename ubf_ -> ibf_; use iblib
41
-- 2008-04-19   137   1.1.3  add DM_STAT_DP port
42
-- 2008-03-02   121   1.1.2  remove snoopers
43
-- 2008-02-24   119   1.1.1  add CPADDR register, remove R_MDIN (not needed)
44
-- 2007-12-30   107   1.1    use IB_MREQ/IB_SRES interface now (for psr access)
45
-- 2007-06-14    56   1.0.1  Use slvtypes.all
46
-- 2007-05-12    26   1.0    Initial version 
47
------------------------------------------------------------------------------
48
 
49
library ieee;
50
use ieee.std_logic_1164.all;
51 13 wfjm
use ieee.numeric_std.all;
52 2 wfjm
 
53
use work.slvtypes.all;
54
use work.iblib.all;
55
use work.pdp11.all;
56
 
57
-- ----------------------------------------------------------------------------
58
 
59
entity pdp11_dpath is                   -- CPU datapath
60
  port (
61
    CLK : in slbit;                     -- clock
62 30 wfjm
    CRESET : in slbit;                  -- cpu reset
63 2 wfjm
    CNTL : in dpath_cntl_type;          -- control interface
64
    STAT : out dpath_stat_type;         -- status interface
65
    CP_DIN : in slv16;                  -- console port data in
66
    CP_DOUT : out slv16;                -- console port data out
67
    PSWOUT : out psw_type;              -- current psw
68
    PCOUT : out slv16;                  -- current pc
69
    IREG : out slv16;                   -- ireg out
70
    VM_ADDR : out slv16;                -- virt. memory address
71
    VM_DOUT : in slv16;                 -- virt. memory data out
72
    VM_DIN : out slv16;                 -- virt. memory data in
73
    IB_MREQ : in ib_mreq_type;          -- ibus request
74
    IB_SRES : out ib_sres_type;         -- ibus response    
75
    DM_STAT_DP : out dm_stat_dp_type    -- debug and monitor status
76
  );
77
end pdp11_dpath;
78
 
79
architecture syn of pdp11_dpath is
80
 
81
  signal R_DSRC : slv16 := (others=>'0');  -- SRC register
82
  signal R_DDST : slv16 := (others=>'0');  -- DST register
83
  signal R_DTMP : slv16 := (others=>'0');  -- TMP register
84
 
85
  signal R_IREG : slv16 := (others=>'0');  -- IREG register
86
 
87
  signal R_CPDOUT : slv16 := (others=>'0'); -- cp dout buffer
88
 
89
  signal GPR_DSRC : slv16 := (others=>'0');  -- 
90
  signal GPR_DDST : slv16 := (others=>'0');  -- 
91
  signal GPR_PC : slv16 := (others=>'0');    -- 
92
 
93
  signal PSW : psw_type := psw_init;     --
94
  signal CCIN : slv4 := (others=>'0');   -- cc input to xbox's
95
  signal CCOUT : slv4 := (others=>'0');  -- cc output from xbox's
96
 
97
  signal DRES : slv16 := (others=>'0');  -- result bus
98
  signal DRESE : slv16 := (others=>'0'); -- result bus extra
99
 
100 8 wfjm
  signal OUNIT_DOUT : slv16 := (others=>'0'); -- result ounit
101
  signal AUNIT_DOUT : slv16 := (others=>'0'); -- result aunit
102
  signal LUNIT_DOUT : slv16 := (others=>'0'); -- result lunit
103
  signal MUNIT_DOUT : slv16 := (others=>'0'); -- result munit
104 2 wfjm
 
105 8 wfjm
  signal OUNIT_NZOUT : slv2 := (others=>'0'); -- nz flags ounit
106
  signal OUNIT_CCOUT : slv4 := (others=>'0'); -- cc flags ounit
107
  signal AUNIT_CCOUT : slv4 := (others=>'0'); -- cc flags aunit
108
  signal LUNIT_CCOUT : slv4 := (others=>'0'); -- cc flags lunit
109
  signal MUNIT_CCOUT : slv4 := (others=>'0'); -- cc flags munit
110 2 wfjm
 
111
  subtype  lal_ibf_addr  is integer range 15 downto 1;
112
  subtype  lah_ibf_addr  is integer range  5 downto 0;
113
  constant lah_ibf_ena_22bit: integer :=  6;
114
  constant lah_ibf_ena_ubmap: integer :=  7;
115
 
116
begin
117
 
118
  GPR : pdp11_gpr port map (
119
    CLK   => CLK,
120
    DIN   => DRES,
121
    ASRC  => CNTL.gpr_asrc,
122
    ADST  => CNTL.gpr_adst,
123
    MODE  => CNTL.gpr_mode,
124
    RSET  => CNTL.gpr_rset,
125
    WE    => CNTL.gpr_we,
126
    BYTOP => CNTL.gpr_bytop,
127
    PCINC => CNTL.gpr_pcinc,
128
    DSRC  => GPR_DSRC,
129
    DDST  => GPR_DDST,
130
    PC    => GPR_PC
131
  );
132
 
133
  PSR : pdp11_psr port map(
134
    CLK     => CLK,
135
    CRESET  => CRESET,
136
    DIN     => DRES,
137
    CCIN    => CCOUT,
138
    CCWE    => CNTL.psr_ccwe,
139
    WE      => CNTL.psr_we,
140
    FUNC    => CNTL.psr_func,
141
    PSW     => PSW,
142
    IB_MREQ => IB_MREQ,
143
    IB_SRES => IB_SRES
144
  );
145
 
146 8 wfjm
  OUNIT : pdp11_ounit port map (
147 2 wfjm
    DSRC   => R_DSRC,
148
    DDST   => R_DDST,
149
    DTMP   => R_DTMP,
150
    PC     => GPR_PC,
151 8 wfjm
    ASEL   => CNTL.ounit_asel,
152
    AZERO  => CNTL.ounit_azero,
153 2 wfjm
    IREG8  => R_IREG(7 downto 0),
154
    VMDOUT => VM_DOUT,
155 8 wfjm
    CONST  => CNTL.ounit_const,
156
    BSEL   => CNTL.ounit_bsel,
157
    OPSUB  => CNTL.ounit_opsub,
158
    DOUT   => OUNIT_DOUT,
159
    NZOUT  => OUNIT_NZOUT
160 2 wfjm
  );
161
 
162 8 wfjm
  AUNIT : pdp11_aunit port map (
163 2 wfjm
    DSRC   => R_DSRC,
164
    DDST   => R_DDST,
165 27 wfjm
    CI     => CCIN(c_cc_f_c),
166 8 wfjm
    SRCMOD => CNTL.aunit_srcmod,
167
    DSTMOD => CNTL.aunit_dstmod,
168
    CIMOD  => CNTL.aunit_cimod,
169
    CC1OP  => CNTL.aunit_cc1op,
170
    CCMODE => CNTL.aunit_ccmode,
171
    BYTOP  => CNTL.aunit_bytop,
172
    DOUT   => AUNIT_DOUT,
173
    CCOUT  => AUNIT_CCOUT
174 2 wfjm
  );
175
 
176 8 wfjm
  LUNIT : pdp11_lunit port map (
177 2 wfjm
    DSRC  => R_DSRC,
178
    DDST  => R_DDST,
179
    CCIN  => CCIN,
180 8 wfjm
    FUNC  => CNTL.lunit_func,
181
    BYTOP => CNTL.lunit_bytop,
182
    DOUT  => LUNIT_DOUT,
183
    CCOUT => LUNIT_CCOUT
184 2 wfjm
  );
185
 
186 8 wfjm
  MUNIT : pdp11_munit port map (
187 2 wfjm
    CLK       => CLK,
188
    DSRC      => R_DSRC,
189
    DDST      => R_DDST,
190
    DTMP      => R_DTMP,
191
    GPR_DSRC  => GPR_DSRC,
192 8 wfjm
    FUNC      => CNTL.munit_func,
193
    S_DIV     => CNTL.munit_s_div,
194
    S_DIV_CN  => CNTL.munit_s_div_cn,
195
    S_DIV_CR  => CNTL.munit_s_div_cr,
196 25 wfjm
    S_DIV_SR  => CNTL.munit_s_div_sr,
197 8 wfjm
    S_ASH     => CNTL.munit_s_ash,
198
    S_ASH_CN  => CNTL.munit_s_ash_cn,
199
    S_ASHC    => CNTL.munit_s_ashc,
200
    S_ASHC_CN => CNTL.munit_s_ashc_cn,
201 2 wfjm
    SHC_TC    => STAT.shc_tc,
202
    DIV_CR    => STAT.div_cr,
203
    DIV_CQ    => STAT.div_cq,
204 25 wfjm
    DIV_QUIT  => STAT.div_quit,
205 8 wfjm
    DOUT      => MUNIT_DOUT,
206 2 wfjm
    DOUTE     => DRESE,
207 8 wfjm
    CCOUT     => MUNIT_CCOUT
208 2 wfjm
  );
209
 
210
  CCIN <= PSW.cc;
211
 
212 27 wfjm
  OUNIT_CCOUT <= OUNIT_NZOUT & "0" & CCIN(c_cc_f_c); -- clear v, keep c
213 2 wfjm
 
214 8 wfjm
  proc_dres_sel: process (OUNIT_DOUT, AUNIT_DOUT, LUNIT_DOUT, MUNIT_DOUT,
215 2 wfjm
                          VM_DOUT, R_IREG, CP_DIN, CNTL)
216
  begin
217
    case CNTL.dres_sel is
218 8 wfjm
      when c_dpath_res_ounit  => DRES <= OUNIT_DOUT;
219
      when c_dpath_res_aunit  => DRES <= AUNIT_DOUT;
220
      when c_dpath_res_lunit  => DRES <= LUNIT_DOUT;
221
      when c_dpath_res_munit  => DRES <= MUNIT_DOUT;
222 2 wfjm
      when c_dpath_res_vmdout => DRES <= VM_DOUT;
223
      when c_dpath_res_fpdout => DRES <= (others=>'0');
224
      when c_dpath_res_ireg   => DRES <= R_IREG;
225
      when c_dpath_res_cpdin  => DRES <= CP_DIN;
226
      when others => null;
227
    end case;
228
  end process proc_dres_sel;
229
 
230 8 wfjm
  proc_cres_sel: process (OUNIT_CCOUT, AUNIT_CCOUT, LUNIT_CCOUT, MUNIT_CCOUT,
231 2 wfjm
                          CCIN, CNTL)
232
  begin
233
    case CNTL.cres_sel is
234 8 wfjm
      when c_dpath_res_ounit  => CCOUT <= OUNIT_CCOUT;
235
      when c_dpath_res_aunit  => CCOUT <= AUNIT_CCOUT;
236
      when c_dpath_res_lunit  => CCOUT <= LUNIT_CCOUT;
237
      when c_dpath_res_munit  => CCOUT <= MUNIT_CCOUT;
238 2 wfjm
      when c_dpath_res_vmdout => CCOUT <= CCIN;
239
      when c_dpath_res_fpdout => CCOUT <= "0000";
240
      when c_dpath_res_ireg   => CCOUT <= CCIN;
241
      when c_dpath_res_cpdin  => CCOUT <= CCIN;
242
      when others => null;
243
    end case;
244
  end process proc_cres_sel;
245
 
246
  proc_dregs: process (CLK)
247
  begin
248
 
249 13 wfjm
    if rising_edge(CLK) then
250 2 wfjm
 
251
      if CNTL.dsrc_we = '1' then
252
        if CNTL.dsrc_sel = '0' then
253
          R_DSRC <= GPR_DSRC;
254
        else
255
          R_DSRC <= DRES;
256
        end if;
257
      end if;
258
 
259
      if CNTL.ddst_we = '1' then
260
        if CNTL.ddst_sel = '0' then
261
          R_DDST <= GPR_DDST;
262
        else
263
          R_DDST <= DRES;
264
        end if;
265
      end if;
266
 
267
      if CNTL.dtmp_we = '1' then
268
        case CNTL.dtmp_sel is
269
          when c_dpath_dtmp_dsrc  => R_DTMP <= GPR_DSRC;
270
          when c_dpath_dtmp_psw   =>
271
            R_DTMP <= (others=>'0');
272
            R_DTMP(psw_ibf_cmode) <= PSW.cmode;
273
            R_DTMP(psw_ibf_pmode) <= PSW.pmode;
274
            R_DTMP(psw_ibf_rset)  <= PSW.rset;
275
            R_DTMP(psw_ibf_pri)   <= PSW.pri;
276
            R_DTMP(psw_ibf_tflag) <= PSW.tflag;
277
            R_DTMP(psw_ibf_cc)    <= PSW.cc;
278
          when c_dpath_dtmp_dres  => R_DTMP <= DRES;
279
          when c_dpath_dtmp_drese => R_DTMP <= DRESE;
280
          when others => null;
281
        end case;
282
      end if;
283
 
284
    end if;
285
 
286
  end process proc_dregs;
287
 
288
  proc_mregs: process (CLK)
289
  begin
290
 
291 13 wfjm
    if rising_edge(CLK) then
292 2 wfjm
 
293
      if CNTL.ireg_we = '1' then
294
        R_IREG <= VM_DOUT;
295
      end if;
296
 
297
    end if;
298
  end process proc_mregs;
299
 
300
  proc_cpdout: process (CLK)
301
  begin
302 13 wfjm
    if rising_edge(CLK) then
303 2 wfjm
      if CRESET = '1' then
304
        R_CPDOUT <= (others=>'0');
305
      else
306
        if CNTL.cpdout_we = '1' then
307
          R_CPDOUT <= DRES;
308
        end if;
309
      end if;
310
    end if;
311
  end process proc_cpdout;
312
 
313
  proc_vmaddr_sel: process (R_DSRC, R_DDST, R_DTMP, GPR_PC, CNTL)
314
  begin
315
    case CNTL.vmaddr_sel is
316
      when c_dpath_vmaddr_dsrc => VM_ADDR <= R_DSRC;
317
      when c_dpath_vmaddr_ddst => VM_ADDR <= R_DDST;
318
      when c_dpath_vmaddr_dtmp => VM_ADDR <= R_DTMP;
319
      when c_dpath_vmaddr_pc   => VM_ADDR <= GPR_PC;
320
      when others => null;
321
    end case;
322
  end process proc_vmaddr_sel;
323
 
324 27 wfjm
  STAT.ccout_z <= CCOUT(c_cc_f_z);      -- current Z cc flag
325 2 wfjm
 
326
  PSWOUT  <= PSW;
327
  PCOUT   <= GPR_PC;
328
  IREG    <= R_IREG;
329
  VM_DIN  <= DRES;
330
  CP_DOUT <= R_CPDOUT;
331
 
332
  DM_STAT_DP.pc        <= GPR_PC;
333
  DM_STAT_DP.psw       <= PSW;
334
  DM_STAT_DP.ireg      <= R_IREG;
335
  DM_STAT_DP.ireg_we   <= CNTL.ireg_we;
336
  DM_STAT_DP.dsrc      <= R_DSRC;
337
  DM_STAT_DP.ddst      <= R_DDST;
338
  DM_STAT_DP.dtmp      <= R_DTMP;
339
  DM_STAT_DP.dres      <= DRES;
340
  DM_STAT_DP.gpr_adst  <= CNTL.gpr_adst;
341
  DM_STAT_DP.gpr_mode  <= CNTL.gpr_mode;
342
  DM_STAT_DP.gpr_bytop <= CNTL.gpr_bytop;
343
  DM_STAT_DP.gpr_we    <= CNTL.gpr_we;
344
 
345
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.