OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [w11a/] [pdp11_hio70.vhd] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 wfjm
-- $Id: pdp11_hio70.vhd 672 2015-05-02 21:58:28Z mueller $
2
--
3
-- Copyright 2015- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_hio70 - syn
16
-- Description:    pdp11: hio led and dsp for sys70
17
--
18
-- Dependencies:   -
19
-- Test bench:     -
20
-- Target Devices: generic
21
-- Tool versions:  ise 14.7; viv 2014.4; ghdl 0.31
22
--
23
-- Revision History: 
24
-- Date         Rev Version  Comment
25
-- 2015-05-01   672   1.0    Initial version (extracted from sys_w11a_*)
26
------------------------------------------------------------------------------
27
 
28
library ieee;
29
use ieee.std_logic_1164.all;
30
use ieee.numeric_std.all;
31
 
32
use work.slvtypes.all;
33
use work.pdp11.all;
34
 
35
-- ----------------------------------------------------------------------------
36
 
37
entity pdp11_hio70 is                   -- hio led and dsp for sys70
38
  generic (
39
    LWIDTH : positive := 8;             -- led width
40
    DCWIDTH : positive := 2);           -- digit counter width (2 or 3)
41
  port (
42
    SEL_LED : in slbit;                 -- led select (0=stat;1=dr)
43
    SEL_DSP : in slv2;                  -- dsp select
44
    MEM_ACT_R : in slbit;               -- memory active read
45
    MEM_ACT_W : in slbit;               -- memory active write
46
    CP_STAT : in cp_stat_type;          -- console port status
47
    DM_STAT_DP : in dm_stat_dp_type;    -- debug and monitor status
48
    ABCLKDIV : in slv16;                -- serport clock divider
49
    DISPREG : in slv16;                 -- display register
50
    LED : out slv(LWIDTH-1 downto 0);   -- hio leds
51
    DSP_DAT : out slv(4*(2**DCWIDTH)-1 downto 0)  -- display data
52
  );
53
end pdp11_hio70;
54
 
55
architecture syn of pdp11_hio70 is
56
 
57
  signal STATLEDS :  slv8 := (others=>'0');
58
 
59
begin
60
 
61
  LED_CPU : pdp11_statleds
62
    port map (
63
      MEM_ACT_R  => MEM_ACT_R,
64
      MEM_ACT_W  => MEM_ACT_W,
65
      CP_STAT    => CP_STAT,
66
      DM_STAT_DP => DM_STAT_DP,
67
      STATLEDS   => STATLEDS
68
    );
69
 
70
  LED_MUX : pdp11_ledmux
71
    generic map (
72
      LWIDTH => LWIDTH)
73
    port map (
74
      SEL        => SEL_LED,
75
      STATLEDS   => STATLEDS,
76
      DM_STAT_DP => DM_STAT_DP,
77
      LED        => LED
78
    );
79
 
80
  DSP_MUX : pdp11_dspmux
81
    generic map (
82
      DCWIDTH => DCWIDTH)
83
    port map (
84
      SEL        => SEL_DSP,
85
      ABCLKDIV   => ABCLKDIV,
86
      DM_STAT_DP => DM_STAT_DP,
87
      DISPREG    => DISPREG,
88
      DSP_DAT    => DSP_DAT
89
    );
90
 
91
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.