OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [w11a/] [pdp11_tmu.vhd] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 wfjm
-- $Id: pdp11_tmu.vhd 677 2015-05-09 21:52:32Z mueller $
2 2 wfjm
--
3 30 wfjm
-- Copyright 2008-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_tmu - sim
16
-- Description:    pdp11: trace and monitor unit
17
--
18
-- Dependencies:   -
19
--
20
-- Test bench:     tb/tb_pdp11_core (implicit)
21
-- Target Devices: generic
22 29 wfjm
-- Tool versions:  ghdl 0.18-0.31
23 8 wfjm
--
24 2 wfjm
-- Revision History: 
25
-- Date         Rev Version  Comment
26 30 wfjm
-- 2015-05-03   674   1.2    start/stop/suspend overhaul
27 17 wfjm
-- 2011-12-23   444   1.1    use local clkcycle count instead of simbus global
28 13 wfjm
-- 2011-11-18   427   1.0.7  now numeric_std clean
29 8 wfjm
-- 2010-10-17   333   1.0.6  use ibus V2 interface
30 2 wfjm
-- 2010-06-26   309   1.0.5  add ibmreq.dip,.cacc,.racc to trace
31
-- 2009-05-10   214   1.0.4  add ENA signal (trace enable)
32
-- 2008-12-14   177   1.0.3  write gpr_* of DM_STAT_DP and dp_ireg_we_last
33
-- 2008-12-13   176   1.0.2  write only cycle currently used by tmu_conf
34
-- 2008-08-22   161   1.0.1  rename ubf_ -> ibf_
35
-- 2008-04-19   137   1.0    Initial version 
36
------------------------------------------------------------------------------
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40 13 wfjm
use ieee.numeric_std.all;
41 2 wfjm
use ieee.std_logic_textio.all;
42
use std.textio.all;
43
 
44
use work.slvtypes.all;
45
use work.simlib.all;
46
use work.simbus.all;
47
use work.pdp11.all;
48
 
49
-- ----------------------------------------------------------------------------
50
 
51
entity pdp11_tmu is                     -- trace and monitor unit
52
  port (
53
    CLK : in slbit;                     -- clock
54
    ENA : in slbit := '0';              -- enable trace output
55 30 wfjm
    DM_STAT_DP : in dm_stat_dp_type;    -- debug and monitor status - dpath
56
    DM_STAT_VM : in dm_stat_vm_type;    -- debug and monitor status - vmbox
57
    DM_STAT_CO : in dm_stat_co_type;    -- debug and monitor status - core
58
    DM_STAT_SY : in dm_stat_sy_type     -- debug and monitor status - system
59 2 wfjm
  );
60
end pdp11_tmu;
61
 
62
 
63
architecture sim of pdp11_tmu is
64
 
65
  signal R_FIRST : slbit := '1';
66
 
67
begin
68
 
69
  proc_tm: process (CLK)
70
    variable oline  : line;
71 17 wfjm
    variable clkcycle : integer := 0;
72 2 wfjm
    variable ipsw   : slv16 := (others=>'0');
73
    variable ibaddr : slv16 := (others=>'0');
74
    variable emaddr : slv22 := (others=>'0');
75
    variable dp_ireg_we_last : slbit := '0';
76
    variable vm_ibsres_busy_last : slbit := '0';
77
    variable vm_ibsres_ack_last  : slbit := '0';
78
    variable wcycle : boolean := false;
79
    file ofile : text open write_mode is "tmu_ofile";
80
  begin
81
 
82 13 wfjm
    if rising_edge(CLK) then
83 2 wfjm
 
84 17 wfjm
      clkcycle := clkcycle + 1;
85
 
86 2 wfjm
      if R_FIRST = '1' then
87
        R_FIRST <= '0';
88
        write(oline, string'("#"));
89
        write(oline, string'(" clkcycle:d"));
90
        write(oline, string'(" cpu:o"));
91
        write(oline, string'(" dp.pc:o"));
92
        write(oline, string'(" dp.psw:o"));
93
        write(oline, string'(" dp.ireg:o"));
94
        write(oline, string'(" dp.ireg_we:b"));
95
        write(oline, string'(" dp.ireg_we_last:b"));  -- is ireg_we last cycle
96
        write(oline, string'(" dp.dsrc:o"));
97
        write(oline, string'(" dp.ddst:o"));
98
        write(oline, string'(" dp.dtmp:o"));
99
        write(oline, string'(" dp.dres:o"));
100
        write(oline, string'(" dp.gpr_adst:o"));
101
        write(oline, string'(" dp.gpr_mode:o"));
102
        write(oline, string'(" dp.gpr_bytop:b"));
103
        write(oline, string'(" dp.gpr_we:b"));
104
 
105 8 wfjm
        write(oline, string'(" vm.ibmreq.aval:b"));
106
        write(oline, string'(" vm.ibmreq.re:b"));
107 2 wfjm
        write(oline, string'(" vm.ibmreq.we:b"));
108 8 wfjm
        write(oline, string'(" vm.ibmreq.rmw:b"));
109 2 wfjm
        write(oline, string'(" vm.ibmreq.be0:b"));
110
        write(oline, string'(" vm.ibmreq.be1:b"));
111
        write(oline, string'(" vm.ibmreq.cacc:b"));
112
        write(oline, string'(" vm.ibmreq.racc:b"));
113
        write(oline, string'(" vm.ibmreq.addr:o"));
114
        write(oline, string'(" vm.ibmreq.din:o"));
115
        write(oline, string'(" vm.ibsres.ack:b"));
116
        write(oline, string'(" vm.ibsres.busy:b"));
117
        write(oline, string'(" vm.ibsres.dout:o"));
118
 
119
        write(oline, string'(" co.cpugo:b"));
120 30 wfjm
        write(oline, string'(" co.cpususp:b"));
121
        write(oline, string'(" co.suspint:b"));
122
        write(oline, string'(" co.suspext:b"));
123 2 wfjm
 
124
        write(oline, string'(" sy.emmreq.req:b"));
125
        write(oline, string'(" sy.emmreq.we:b"));
126
        write(oline, string'(" sy.emmreq.be:b"));
127
        write(oline, string'(" sy.emmreq.cancel:b"));
128
        write(oline, string'(" sy.emmreq.addr:o"));
129
        write(oline, string'(" sy.emmreq.din:o"));
130
        write(oline, string'(" sy.emsres.ack_r:b"));
131
        write(oline, string'(" sy.emsres.ack_w:b"));
132
        write(oline, string'(" sy.emsres.dout:o"));
133
        write(oline, string'(" sy.chit:b"));
134
 
135
        writeline(ofile, oline);
136
      end if;
137
 
138
      ipsw := (others=>'0');
139
      ipsw(psw_ibf_cmode) := DM_STAT_DP.psw.cmode;
140
      ipsw(psw_ibf_pmode) := DM_STAT_DP.psw.pmode;
141
      ipsw(psw_ibf_rset)  := DM_STAT_DP.psw.rset;
142
      ipsw(psw_ibf_pri)   := DM_STAT_DP.psw.pri;
143
      ipsw(psw_ibf_tflag) := DM_STAT_DP.psw.tflag;
144
      ipsw(psw_ibf_cc)    := DM_STAT_DP.psw.cc;
145
 
146
      ibaddr := "1110000000000000";
147
      ibaddr(DM_STAT_VM.ibmreq.addr'range) := DM_STAT_VM.ibmreq.addr;
148
 
149
      emaddr := (others=>'0');
150
      emaddr(DM_STAT_SY.emmreq.addr'range) := DM_STAT_SY.emmreq.addr;
151
 
152
      wcycle := false;
153
      if dp_ireg_we_last='1' or
154
         DM_STAT_DP.gpr_we='1' or
155
         DM_STAT_SY.emmreq.req='1' or
156
         DM_STAT_SY.emsres.ack_r='1' or
157
         DM_STAT_SY.emsres.ack_w='1' or
158
         DM_STAT_SY.emmreq.cancel='1' or
159 8 wfjm
         DM_STAT_VM.ibmreq.re='1' or
160
         DM_STAT_VM.ibmreq.we='1' or
161 2 wfjm
         DM_STAT_VM.ibsres.ack='1'
162
      then
163
        wcycle := true;
164
      end if;
165
 
166
      if DM_STAT_VM.ibsres.busy='0' and
167
         (vm_ibsres_busy_last='1' and vm_ibsres_ack_last='0')
168
      then
169
        wcycle := true;
170
      end if;
171
 
172
      if ENA = '0' then                 -- if not enabled
173
        wcycle := false;                -- force to not logged...
174
      end if;
175
 
176
      if wcycle then
177 17 wfjm
        write(oline, clkcycle, right, 9);
178 2 wfjm
        write(oline, string'(" 0"));
179
        writeoct(oline, DM_STAT_DP.pc,   right, 7);
180
        writeoct(oline, ipsw, right, 7);
181
        writeoct(oline, DM_STAT_DP.ireg, right, 7);
182
        write(oline,    DM_STAT_DP.ireg_we, right, 2);
183
        write(oline,    dp_ireg_we_last, right, 2);
184
        writeoct(oline, DM_STAT_DP.dsrc, right, 7);
185
        writeoct(oline, DM_STAT_DP.ddst, right, 7);
186
        writeoct(oline, DM_STAT_DP.dtmp, right, 7);
187
        writeoct(oline, DM_STAT_DP.dres, right, 7);
188
        writeoct(oline, DM_STAT_DP.gpr_adst, right, 2);
189
        writeoct(oline, DM_STAT_DP.gpr_mode, right, 2);
190
        write(oline, DM_STAT_DP.gpr_bytop, right, 2);
191
        write(oline, DM_STAT_DP.gpr_we, right, 2);
192
 
193 8 wfjm
        write(oline,    DM_STAT_VM.ibmreq.aval, right, 2);
194
        write(oline,    DM_STAT_VM.ibmreq.re, right, 2);
195 2 wfjm
        write(oline,    DM_STAT_VM.ibmreq.we, right, 2);
196 8 wfjm
        write(oline,    DM_STAT_VM.ibmreq.rmw, right, 2);
197 2 wfjm
        write(oline,    DM_STAT_VM.ibmreq.be0, right, 2);
198
        write(oline,    DM_STAT_VM.ibmreq.be1, right, 2);
199
        write(oline,    DM_STAT_VM.ibmreq.cacc, right, 2);
200
        write(oline,    DM_STAT_VM.ibmreq.racc, right, 2);
201
        writeoct(oline, ibaddr, right, 7);
202
        writeoct(oline, DM_STAT_VM.ibmreq.din, right, 7);
203
        write(oline,    DM_STAT_VM.ibsres.ack, right, 2);
204
        write(oline,    DM_STAT_VM.ibsres.busy, right, 2);
205
        writeoct(oline, DM_STAT_VM.ibsres.dout, right, 7);
206
 
207
        write(oline,    DM_STAT_CO.cpugo, right, 2);
208 30 wfjm
        write(oline,    DM_STAT_CO.cpususp, right, 2);
209
        write(oline,    DM_STAT_CO.suspint, right, 2);
210
        write(oline,    DM_STAT_CO.suspext, right, 2);
211 2 wfjm
 
212
        write(oline,    DM_STAT_SY.emmreq.req, right, 2);
213
        write(oline,    DM_STAT_SY.emmreq.we, right, 2);
214
        write(oline,    DM_STAT_SY.emmreq.be, right, 3);
215
        write(oline,    DM_STAT_SY.emmreq.cancel, right, 2);
216
        writeoct(oline, emaddr, right, 9);
217
        writeoct(oline, DM_STAT_SY.emmreq.din, right, 7);
218
        write(oline,    DM_STAT_SY.emsres.ack_r, right, 2);
219
        write(oline,    DM_STAT_SY.emsres.ack_w, right, 2);
220
        writeoct(oline, DM_STAT_SY.emsres.dout, right, 7);
221
        write(oline,    DM_STAT_SY.chit, right, 2);
222
 
223
        writeline(ofile, oline);
224
      end if;
225
 
226
      dp_ireg_we_last     := DM_STAT_DP.ireg_we;
227
      vm_ibsres_busy_last := DM_STAT_VM.ibsres.busy;
228
      vm_ibsres_ack_last  := DM_STAT_VM.ibsres.ack;
229
 
230
    end if;
231
 
232
  end process proc_tm;
233
 
234
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.