OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [tools/] [bin/] [xise_ghdl_unisim] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 wfjm
#!/bin/bash
2
# $Id: xise_ghdl_unisim 642 2015-02-06 18:53:12Z mueller $
3 2 wfjm
#
4 29 wfjm
# Copyright 2007-2015 by Walter F.J. Mueller 
5
# License disclaimer see LICENSE_gpl_v2.txt in $RETROBASE directory
6
#
7 2 wfjm
#  Revision History:
8 22 wfjm
# Date         Rev  Vers    Comment
9 29 wfjm
# 2015-02-03   642   1.3    remove ISE 10 legacy support; add unimacro support
10
# 2015-01-29   639   1.2    rename from xilinx_*; use XTWI_PATH rather XILINX
11 2 wfjm
# 2009-11-08   248   1.1    adopt to ISE 11.1, use VITAL models from ./primitive
12
# 2007-10-26    92   1.0    Initial version
13
#
14
 
15 29 wfjm
if [ -z "$XTWI_PATH" ]
16 2 wfjm
then
17 29 wfjm
  echo "XTWI_PATH not defined"
18 2 wfjm
  exit 1
19
fi
20 29 wfjm
if [ ! -d "$XTWI_PATH/ISE_DS/ISE" ]
21
then
22
  echo "$XTWI_PATH/ISE_DS/ISE not existing"
23
  exit 1
24
fi
25 2 wfjm
#
26 29 wfjm
ise_path=$XTWI_PATH/ISE_DS/ISE
27
#
28
cd $ise_path
29 2 wfjm
echo "============================================================"
30 29 wfjm
echo "* Build ghdl UNISIM lib for $ise_path"
31 2 wfjm
echo "============================================================"
32
#
33
if [ ! -d ghdl ]
34
then
35
  mkdir ghdl
36
fi
37 29 wfjm
cd ghdl
38 2 wfjm
#
39
if [ ! -d unisim  ]
40
then
41
  mkdir unisim
42
fi
43 29 wfjm
cd unisim
44 2 wfjm
#
45 29 wfjm
cp $ise_path/vhdl/src/unisims/unisim_VCOMP.vhd .
46
cp $ise_path/vhdl/src/unisims/unisim_VPKG.vhd .
47 2 wfjm
#
48
if [ ! -d primitive ]
49
then
50
  mkdir primitive
51
fi
52 29 wfjm
pushd primitive
53 2 wfjm
#
54 29 wfjm
cp -p $ise_path/vhdl/src/unisims/primitive/*.vhd .
55
cp -p $ise_path/vhdl/src/unisims/primitive/vhdl_analyze_order .
56 2 wfjm
#
57
xilinx_vhdl_memcolltype_fix
58 29 wfjm
popd
59
 
60 2 wfjm
echo "# ghdl ... unisim_VCOMP.vhd"
61
ghdl -a --ieee=synopsys --work=unisim unisim_VCOMP.vhd
62
echo "# ghdl ... unisim_VPKG.vhd"
63
ghdl -a --ieee=synopsys --work=unisim unisim_VPKG.vhd
64
 
65
for file in `cat primitive/vhdl_analyze_order`
66
do
67
  echo "# ghdl ... primitive/$file"
68
  ghdl -a -fexplicit --ieee=synopsys --work=unisim \
69
      --no-vital-checks primitive/$file 2>&1 |\
70
      tee primitive/$file.ghdl.log
71
done
72
#
73
echo "--- scan for compilation errors:"
74
find primitive -name "*.ghdl.log" | xargs grep error
75
#
76 29 wfjm
echo "============================================================"
77
echo "* Build ghdl UNIMACRO lib for $XTWI_PATH/ISE_DS/ISE"
78
echo "============================================================"
79
#
80
cd $ise_path/ghdl
81
if [ ! -d unimacro  ]
82
then
83
  mkdir unimacro
84
fi
85
#
86
cd unimacro
87
cp $ise_path/vhdl/src/unimacro/*.vhd .
88
#
89
for file in *.vhd
90
do
91
  echo "# ghdl ... $file"
92
  ghdl -a -P../unisim -fexplicit --ieee=synopsys --work=unimacro \
93
      --no-vital-checks $file 2>&1 | tee $file.ghdl.log
94
done
95
#
96
echo "--- scan for compilation errors:"
97
find . -name "*.ghdl.log" | xargs grep error
98
#

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.