OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [doc/] [README-w11a_V.60-w11a_V0.70.txt] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 wfjm
$Id: README-w11a_V.60-w11a_V0.70.txt 695 2015-06-28 11:22:52Z mueller $
2 5 wfjm
 
3
Release notes for w11a
4
 
5
  Table of content:
6
 
7
  1. Documentation
8 25 wfjm
  2. Change Log
9 5 wfjm
 
10 9 wfjm
1. Documentation -------------------------------------------------------------
11 5 wfjm
 
12
  More detailed information on installation, build and test can be found
13
  in the doc directory, specifically
14
 
15
    * README.txt: release notes
16 30 wfjm
    * README_known_issues.txt: known issues
17 5 wfjm
    * INSTALL.txt: installation and building test benches and systems
18 25 wfjm
    * FILES.txt: short description of the directory layout, what is where ?
19 5 wfjm
    * w11a_tb_guide.txt: running test benches
20
    * w11a_os_guide.txt: booting operating systems
21 6 wfjm
    * w11a_known_issues.txt: known differences, limitations and issues
22 5 wfjm
 
23 25 wfjm
2. Change Log ----------------------------------------------------------------
24 5 wfjm
 
25 32 wfjm
- w11a_V0.60 -> w11a_V0.70 cummulative summary of key changes
26
  - Bugfix for DIV instruction  (in w11a_V0.61, see ECO-026-div.txt)
27
  - revised rbus protocol V4    (in w11a_V0.62, see README_Rlink_V4.txt)
28
  - add basic Vivado support    (in w11a_V0.64)
29
  - add Nexys4 and Basys3 port of w11a (in w11a_V0.64)
30 34 wfjm
  - add RL11/RL02  disk support (in w11a_V0.64)
31 32 wfjm
  - add RH70+RP/RM disk support (in w11a_V0.65)
32
  - add TM11/TY10 tape support  (in w11a_V0.66)
33
  - reference system now ISE 14.7, Vivado 2014.4; Ubuntu 14.04 64 bit, ghdl 0.31
34
 
35
- trunk (2015-06-21: svn rev 33(oc) 693(wfjm); tagged w11a_V0.70)  +++++++++++
36
  - Preface
37
    - resolved known issue V0.66-2: operation with multiple RP or RM disks
38
      under 211bsd works now. Issue was caused by a faulty error check.
39
    - resolved bug tracker issue 2015-06-06: the tm11 offline function works
40
      now as expected. Issue was caused by de-referencing a null pointer.
41
    - resolved bug tracker request 2015-06-05: the values returned as drive
42
      serial number were interpreted by 211bsd standalone code as a signature
43
      of SI drives, which made disk partitioning a bit cumbersome. Changed the
44
      scheme used to generate drive serial numbers such that they never match
45
      these 3rd party drive characteristics. The 211bsd installation on a
46
      RM05 is documented with the 211bsd_tm oskit.
47
    - the w11a designs grow larger, filling the FPGA's on Nexys2 and Nexys3
48
      to ~50% (n2) or 67% (n3). To reach timing closure without fine tuning
49
      constraints the cpu clock had to be reduced to
50
        sys_w11a_n2  now 52 MHz (was 54 MHz)
51
        sys_w11a_n3  now 64 MHz (was 68 MHz)
52
 
53
    - w11a has now a complete set of mass storage peripherals. This is a good
54
      reason of a major release, thus go for version V0.70.
55
 
56
    - there are many known issues, and in many cases only core functionality
57
      used by operating systems has been implemented. The missing parts will
58
      be implemented in the upcoming releases towards V0.80, also much more
59
      intensive testing, especially with maindecs (aka xxdp) will be done.
60
 
61
  - Summary
62
    - rhrp and tm11 bug fixes
63
    - no major functionality added
64
 
65
  - New features
66
 
67
  - Changes
68
    - renames
69
      - tools/oskit/211bsd_tm/211bsd_tm_boot.* -> 211bsd_tm_rp06_boot.*
70
    - functional changes
71
      - rtl/ibus/ibdr_rhrp        - modify sn register to avoid 211bsd issues
72
      - tools/bin/create_disk     - support RM80 disks
73
      - tools/tcl/rutil/util.tcl  - add dohook
74
      - tools/oskit/*/*_boot.tcl  - add preinithook and preboothook
75
 
76
  - Bug fixes
77
    - rtl/ibus/ibdr_rhrp          - set er1.rmr only when unit busy
78
                                  - set cs2.pge only when controller busy
79
    - tools/src/librw11
80
      - Rw11CntlTM11              - fix crash when offline function was executed
81
 
82
  - Known issues
83
    - all issues: see README_known_issues.txt
84
    - resolved issues:
85
      - V0.66-2: operation with multiple RP/RM drives works now under 211bsd
86
 
87 31 wfjm
- trunk (2015-06-05: svn rev 31(oc) 687(wfjm); untagged w11a_V0.66)  +++++++++
88
  - Preface
89
 
90
    - Since the previous release a full set of small, medium and large sized
91
      disks (RK,RL,RP/RM) is available, covering all use cases. Still missing
92
      was a tape system, which allows to install systems from distribution tapes
93
      but is also very handy for data exchange. This release adds a TM11/TU10
94
      tape controller emulation. This is much simpler to implement than a
95
      massbus based TU16 or TU78 controller. Because storage is emulated there
96
      is neither a speed nor a capacity advantage of 1600 or 6250 bpi drives,
97
      so for all practical purposes the simple 800 bpi TU10 drive emulation is
98
      fully adequate.
99
      The TM11/TU10 was tested under 211bsd with creating a tape distribution
100
      kit and building a RP06 based system from such a tape. A 211bsd_tm
101
      oskit is provided with a recipe to restore a RP06 from tape.
102
 
103
    - bug fixes
104
      - the ti_rri event loop aborted under heavy load with three devices, seen
105
        when RP disk, TM tape and DL11 run simultaneously. Was caused by a race
106
        condition in attention handling and dispatching.
107
      - the boot command failed when cpu was running and the unit not decoded
108
        properly, so boots from units other then 0 failed.
109
 
110
  - Summary
111
    - added TM11/TU10 tape support
112
 
113
  - New features
114
    - new modules
115
      - rtl/ibus/ibdr_rm11        - ibus controller for RM11
116
      - tools/bin
117
        - file2tap                  - create a tap container from disk files
118
        - tap2file                  - split a tap container into disk files
119
      - tools/src/librw11
120
        - Rw11(Cntl|Unit)TM11     - Controller/Unit for TM11
121
        - Rw11UnitTape(|Base)     - support for tape units
122
        - Rw11VirtTape(|Tap)      - virtual tapes (generic and tap containers)
123
      - tools/tcl/rw11
124
        - tbench.tcl                - support sub directories and return in tests
125
    - new oskits
126
      - tools/oskit/211bsd_tm     - 2.11BSD tape distribution kit (for RP06)
127
 
128
  - Changes
129
    - renames
130
      - tools/tbench              - the text benches were re-organized and
131
                                    grouped now in sub directories:
132
                                      cp    for w11a control port
133
                                      w11a  for w11a CPU tests
134
                                      rhrp  for RHRP device tests
135
                                      tm11  for TM11 device tests
136
    - functional changes
137
      - tools/bin/create_disk       - add RM80 support
138
 
139
  - Bug fixes
140
    - tools/src/librlink
141
      - RlinkServer                  - fix race condition in attention handling
142
    - tools/src/librw11
143
      - Rw11Cpu                      - stop cpu before load, proper unit handling
144
 
145
  - Known issues
146
    - all issues: see README_known_issues.txt
147
    - resolved issues: -- none --
148
    - new issues:
149
      - V0.66-1: the TM11 controller transfers data byte wise (all disk do it
150
          16bit word wise) and allows for odd byte length transfers. Odd length
151
          transfers are currently not supported and rejected as invalid command.
152
          Odd byte length records aren't used by OS, if at all, so in practice
153
          this limitation isn't relevant.
154
      - V0.66-2: using two RP06 drives in parallel under 211bsd leads to a
155
          hangup of the system after a short time. Currently only operation
156
          of a single drive works reliably.
157
 
158 30 wfjm
- trunk (2015-05-14: svn rev 30(oc) 681(wfjm); untagged w11a_V0.65)  +++++++++
159
  - Preface
160
 
161
    - With small RK05 or RL02 sized disks only quite reduced OS setups could
162
      be booted, full featured systems were beyond reach. Now finally large
163
      disks are available, with a RH70 + RP/RM disk controller emulation. It
164
      supports up to four disks and allows now to run full featured 211bsd
165
      or rsx-11mplus systems.
166
 
167
    - to track down issues with ibus devices a 'ibus monitor' was added, it can
168
      record in the default setup up to 511 ibus transactions. An address filter
169
      allows to select accesses of one device. The ibd_ibmon tcl package
170
      contains the appropriate support scripts.
171
 
172
    - several cleanups
173
      - factor out common blocks on sys_w11a_* systems: the core+rbus+cache
174
        logic of single cpu systems now contained in pdp11_sys70, and the
175
        human I/O for digilent boards now in pdp11_hio70.
176
      - cpu start/stop logic cleanup: new command set with simple commands.
177
        Add also a new suspend/resume mechanism, which allows to hold the cpu
178
        without leaving the 'run state'. While suspended all timers are frozen.
179
        Very helpful when debugging, will be the basis for a hardware break
180
        point logic in a later release.
181
      - xon/xoff consolidation: escaping now done in cdata2byte/byte2cdata in
182
        FPGA and in RlinkPacketBufSnd/RlinkPacketBufRcv in backend. The extra
183
        escaping level in serport_xonrx/serport_xontx isn't used anymore, the
184
        special code in RlinkPortTerm has been removed. This allows to use
185
        xon/xoff flow control also in simulation links via RlinkPortFifo.
186
      - status check cleanup: it is very helpful to have a default status check
187
        and an easy way to modify it cases where some error flags are expected
188
        (e.g. on device polls). In the old logic status and data checks were
189
        done via RlinkCommandExpect. The new logic reflects that status checks
190
        are the normal case, and store the status check pattern in RlinkCommand.
191
        The meaning of expect masks for status and data is inverted, now a '1'
192
        means that the bit is checked (before it meant the bit is ignored).
193
        The default status check pattern is still in RlinkContext, but will be
194
        copied to RlinkCommand when the list is processed. RlinkCommandExpect
195
        handles now only data checks.
196
 
197
    - and bug fixes
198
      - rk11 cleanup: since the first days 211bsd autoconfig printed
199
           rk ? csr 177400 vector 220 didn't interrupt
200
        for boots from a RK11 it didn't have consequences, but when booted from
201
        a RL,RP, or RM disk this prevents that the RK11 disks are configured.
202
        Was caused by a missing interrupt after device reset. Now fixed.
203
 
204
  - Summary
205
    - added RH70/RP/RM big disk support
206
    - many cleanups
207
 
208
  - New features
209
    - new directory trees for
210
      - tools/asm-11/lib          - definitions and macros for asm-11
211
    - new modules
212
      - rtl/vlib/serport
213
        - serport_master          - serial port module, master side
214
      - rtl/ibus/ibd_ibmon        - ibus monitor
215 31 wfjm
      - rtl/ibus/ibdr_rhrp        - ibus controller for RH70 plus RP/RM drives
216 30 wfjm
      - rtl/w11a/pdp11_sys70      - 11/70 system - single core +rbus,debug,cache
217
      - rtl/w11a/pdp11_hio70      - hio led and dsp for sys70
218
      - tools/src/librw11
219
        - Rw11(Cntl|Unit)RHRP       - Controller/Unit for RHRP
220
      - tools/tbench
221
        - test_rhrp_*               - test tbench for RHRP
222
    - new oskits
223
      - tools/oskit/211bsd_rp     - new oskit for 2.11BSD on RP06
224
      - tools/oskit/rsx11mp-30_rp - new oskit for RSX-11Mplus V3.0 on RP06
225
 
226
  - Changes
227
    - renames
228
      - rtl/w11a/pdp11_sys70 -> pdp11_reg70 (_sys70 now different function)
229
    - functional changes
230
      - rtl/bplib/*/tb/tb_*       - use serport_master instead of
231
                                      serport_uart_rxtx, allow xon/xoff
232
      - rtl/bplib/fx2rlink
233
        - rlink_sp1c_fx2          - add rbd_rbmon (optional via generics)
234
      - rtl/vlib/rlink/rlink_sp1c - add rbd_rbmon (optional via generics)
235
      - rtl/ibus/ibd_kw11l        - freeze timer when cpu suspended
236
      - tools/bin/tbrun_tbwrri    - add --fusp,--xon
237
      - tools/bin/ti_w11          - rename -fu->-fc, add -f2,-fx; setup defaults
238
      - tools/bin/librlink
239
        - RlinkCommandList          - add SetLastExpect() methods
240
        - RlinkPort                 - add XonEnable()
241
        - RlinkPortCuff             - add noinit attribute
242
        - RlinkPort(Fifo|Term)      - add xon,noinit attributes
243
     - tools/src/librw11
244
       - Rw11Cpu                    - add AddRbibr(), AddWbibr(), RAddrMap()
245
     - tools/bin/librlinktpp
246
        - RtclRlinkConnect          - errcnt: add -increment
247
                                      log: add -bare,-info..
248
                                      wtlam: allow tout=0 for attn cleanup
249
                                      init: new command
250
                                      exec: drop -estatdef
251
        - RtclRlinkServer           - get/set interface added
252
     - tools/src/librwxxtpp
253
       - RtclRw11Cntl               - start: new command
254
       - RtclRw11Cpu                - cp: add -rbibr, wbibr, -rreg,...,-init
255
                                    - cp: add -estat(err|nak|tout), drop estatdef
256
                                    - rename amap->imap; add rmap
257
 
258
  - Bug fixes
259
    - rtl/ibus
260
      - ibdr_rk11                 - interrupt after dreset and seek command start
261
    - tools/src/librlink
262
      - RlinkConnect                - WaitAttn(): return 0. (not -1.) if poll
263
      - RlinkServer                 - Stop(): fix race in (could hang)
264
 
265
  - Known issues
266
    - all issues: see README_known_issues.txt
267
    - resolved issues: -- none --
268
    - new issues:
269
      - V0.65-1: ti_rri sometimes crashes in normal rundown (exit or ^D) when
270
          a cuff: type rlink is active. One gets
271
            terminate called after throwing an instance of 'Retro::Rexception'
272
              what():  RlinkPortCuff::Cleanup(): driver thread failed to stop
273
          doesn't affect normal operation, will be fixed in upcoming release.
274
      - V0.65-2: some exotic RH70/RP/RM features and conditions not implemented
275
         - last block transfered flag (in DS)
276
         - CS2.BAI currently ignored and not handled
277
         - read or write 'with header' gives currently ILF
278
         All this isn't used by any OS, so in practice not relevant.
279
 
280 29 wfjm
- trunk (2015-03-01: svn rev 29(oc) 655(wfjm); untagged w11a_V0.64)  +++++++++
281
 
282
  - Preface
283
    - The w11 project started on a Spartan-3 based Digilent S3board, and soon
284
      moved on to a Nexys2 with much better connectivity. Next step was the
285
      Spartan-6 based Nexys3. Now is time to continue with 7-Series FPGAs.
286
    - When Vivado started in 2013 it was immediately clear that the architecture
287
      is far superior to ISE. But tests with the first versions were sobering,
288
      the w11a design either didn't compile at all, or produced faulty synthesis
289
      results. In 2014 Vivado matured, and the current version 2014.4 works
290
      fine with the w11a code base.
291
    - The original Nexys4 board allowed to quickly port Nexys3 version because
292
      both have the same memory chip. The newer Nexys4 DDR will be addressed
293
      later.
294
    - The BRAM capacity of FPGAs increased significantly over time. The low
295
      cost Basys3 board with the second smallest Artix-7 (XC7A35T) has 200 KB
296
      BRAM. That allows to implement a purely BRAM based w11a system with
297
      176 kB memory. Not enough for 2.11BSD, but for many other less demanding
298
      OS available for a PDP11.
299
    - The Nexyx4 and Basys3 have 16 LEDs. Not quite the 'blinking lights'
300
      console of the classic 11/45 and 11/70, but enough to display the
301
      well known OS typical light patterns the veterans remember so well.
302
    - With a new design tool, a new FPGA generation, two new boards, and a
303
      new interface for the rlink connection that some of the code and tools
304
      base had to be re-organized.
305
    - Last but not least: finally access to a bit bigger disks: RL11 support
306
    - Many changes, some known issues, some rough edges may still lurke around
307
 
308
  - Summary
309
    - added support for Vivado
310
    - added support for Nexys4 and Basys3 boards
311
    - added RL11 disk support
312
    - lots of documentation updated
313
 
314
  - New features
315
    - new directory trees for
316
      - rtl/bplib/basys3            - support for Digilent Basys3 board
317
      - rtl/bplib/nexys4            - support for Digilent Nexys4 board
318
      - rtl/make_viv                - make includes for Vivado
319
    - new files
320
      - tools/bin/xviv_ghdl_unisim  - ghdl compile Vivado UNISIM & UNIMACRO libs
321
    - new modules
322
      - rtl/ibus/ibdr_rl11          - ibus controller for RL11
323
      - rtl/vlib/rlink/ioleds_sp1c  - io activity leds for rlink+serport_1clk
324
      - rtl/vlib/xlib
325
        - s7_cmt_sfs_gsim             - Series-7 CMT: simple vhdl model
326
        - s7_cmt_sfs_unisim           - Series-7 CMT: wrapper for UNISIM
327
      - rtl/w11a
328
        - pdp11_bram_memctl           - simple BRAM based memctl
329
        - pdp11_dspmux                - mux for hio display
330
        - pdp11_ledmux                - mux for hio leds
331
        - pdp11_statleds              - status led generator
332
      - tools/src/librw11/
333
        - Rw11*RL11                   - classes for RL11 disk handling
334
      - tools/src/librwxxtpp
335
        - RtclRw11*RL11               - tcl iface for RL11 disk handling
336
    - new systems
337
      - rtl/sys_gen/tst_rlink       - rlink tester
338
        - basys3/sys_tst_rlink_b3     - for Basys3
339
        - nexys4/sys_tst_rlink_n4     - for Nexys4
340
      - rtl/sys_gen/tst_serloop     - serport loop tester
341
        - nexys4/sys_tst_serloop_n4   - for Nexys4
342
      - rtl/sys_gen/tst_snhumanio   - human I/O tester
343
        - basys3/sys_tst_snhumanio_b3 - for Basys3
344
        - nexys4/sys_tst_snhumanio_n4 - for Nexys4
345
      - rtl/sys_gen/w11a            - w11a
346
        - basys3/sys_w11a_b3          - small BRAM only (176 kB memory)
347
        - nexys4/sys_w11a_n4          - with full 4 MB memory using cram
348
    - new oskits
349
      - tools/oskit/211bsd_rl       - new oskit for 2.11BSD on RL02
350
      - tools/oskit/rt11-53_rl      - new oskit for RT11 V5.3 on RL02
351
      - tools/oskit/xxdp_rl         - new oskit for XXDP 22 and 25 on RL02
352
 
353
  - Changes
354
    - renames
355
      - ensure that old ISE and new Vivado co-exists, ensure telling names
356
        - rtl/make                        -> make_ise
357
        - rtl/bplib/bpgen/sn_4x7segctl    -> sn_7segctl
358
        - tools/bin/isemsg_filter         -> xise_msg_filter
359
        - tools/bin/xilinx_ghdl_unisim    -> xise_ghdl_unisim
360
        - tools/bin/xilinx_ghdl_simprim   -> xise_ghdl_simprim
361
 
362
    - retired files
363
      - rtl/bplib/fx2lib
364
        - fx2_2fifoctl_as    - obsolete, wasn't actively used since long
365
      - tools/bin
366
        - set_ftdi_lat       - obsolete, since kernel 2.6.32 the default is 1 ms
367
        - xilinx_vhdl_chop   - obsolete, since ISE 11 sources come chopped
368
 
369
    - functional changes
370
      - $RETROBASE/Makefile           - re-structured, many new targets
371
      - rtl/bplib/bpgen
372
        - sn_7segctl                  - handle also 8 digit displays
373
        - sn_humanio                  - configurable SWI and DSP width
374
        - sn_humanio_rbus             - configurable SWI and DSP width
375
      - rtl/vlib/serport
376
        - serport_1clock              - export fractional part of divider
377
      - rtl/ibus
378
        - ibdr_maxisys                - add RL11 (ibdr_rl11)
379
      - rtl/sys_gen/w11a/*
380
        - sys_w11a_*                  - use new led and dsp control modules
381
      - tools/src/librlink
382
        - RlinkConnect                - drop LogOpts, indivitual getter/setter
383
        - RlinkPortTerm               - support custom baud rates (5M,6M,10M,12M)
384
      - tools/src/librtcltools
385
        - RtclGetList                 - add '?' (key list) and '*' (kv list)
386
        - RtclSetList                 - add '?' (key list)
387
        - RlogFile                    - Open(): now with cout/cerr support
388
      - tools/src/librlinktpp
389
        - RtclRlinkConnect            - drop config cmd, use get/set cmd
390
        - RtclRlinkPort               - drop config cmd, use get/set cmd
391
      - tools/src/librw11
392
        - Rw11Rdma                    - PreExecCB() with nwdone and nwnext
393
        - Rw11UnitDisk                - add Nwrd2Nblk()
394
      - tools/src/librwxxtpp
395
        - RtclRw11CntlFactory         - add RL11 support
396
      - tools/bin
397
        - xise_ghdl_unisim            - handle also UNIMACRO lib
398
        - vbomconv                    - handle Vivado flows too
399
 
400
  - Bug fixes
401
    - tools/src/librw11
402
      - Rw11CntlRK11                  - revise RdmaPostExecCB() logic
403
 
404
  - Known issues
405
    - V0.64-7: ghdl simulated OS boots via ti_w11 (-n4 ect options) fail due to
406
        a flow control issue (likely since V0.63).
407
    - V0.64-6: IO delays still unconstraint in vivado. All critical IOs use
408
        explicitly IOB flops, thus timing well defined.
409
    - V0.64-5: w11a_tb_guide.txt covers only ISE based tests (see also V0.64-4).
410
    - V0.64-4: No support for the Vivado simulator (xsim) yet. With ghdl only
411
        functional simulations, post synthesis (_ssim) fails to compile.
412
    - V0.64-3: Highest baud rate with basys3 and nexys4 is 10 MBaud. 10 MBaud
413
        is not supported according to FTDI, but works. 12 MBaud in next release.
414
    - V0.64-2: rlink throughput on basys3/nexys4 limited by serial port stack
415
        round trip times. Will be overcome by libusb based custom driver.
416
    - V0.64-1: The large default transfer size for disk accesses leads to bad
417
        throughput in the DL11 emulation for low speed links, like the
418
        460kBaud the S3board is limited too. Will be overcome by a DL11
419
        controller with more buffering.
420
    - V0.62-2: rlink v4 error recovery not yet implemented, will crash on error
421
    - V0.62-1: Command lists aren't split to fit in retransmit buffer size
422
        {last two issues not relevant for w11 backend over USB usage because
423
        the backend produces proper command lists and the USB channel is
424
        usually error free}
425
 
426 28 wfjm
- trunk (2015-01-04: svn rev 28(oc) 629(wfjm); untagged w11a_V0.63)  +++++++++
427
 
428
  - Summary
429
    - the w11a rbus interface used so far a narrow dynamically adjusted
430
      rbus->ibus window. Replaces with a 4k word window for whole IO page.
431
    - utilize rlink protocol version 4 features in w11a backend
432
      - use attn notifies to dispatch attn handlers
433
      - use larger blocks (7*512 rather 1*512 bytes) for rdma transfers
434
      - use labo and merge csr updates with last block transfer
435
      - this combined reduces the number of round trips by a factor 2 to 3,
436
        and in some cases the throughput accordingly.
437
 
438
  - Remarks on reference system
439
    - still using tcl 8.5 (even though 8.6 is now default in Ub 14.04)
440
    - don't use doxygen 1.8.8 and 1.8.9, it fails to generate vhdl docs
441
 
442
  - New features
443
    - new modules
444
      - tools/bin
445
        - ghdl_assert_filter      - filter to suppress startup warnings
446
        - tbrun_tbw               - wrapper for tbw based test benches
447
        - tbrun_tbwrri            - wrapper for ti_rri + tbw based test benches
448
      - tools/src/librw11
449
        - Rw11Rdma                - Rdma engine base class
450
        - Rw11RdmaDisk            - Rdma engine for disk emulation
451
 
452
  - Changes
453
    - rtl/vlib/rlink
454
      - rlink_core                - use 4th stat bit to signal rbus timeout
455
    - rtl/vlib/rbus
456
      - rbd_rbmon                 - reorganized, supports now 16 bit addresses
457
    - rtl/w11a
458
      - pdp11_core_rbus           - use full size 4k word ibus window
459
    - tools/bin/tbw               - add -fifo and -verbose options
460
    - tools/src/librtools
461
      - Rexception                - add ctor from RerrMsg
462
    - tools/src/librlink
463
      - RlinkCommandExpect        - rblk/wblk done counts now expectable
464
      - RlinkConnect              - cleanups and minor enhancements
465
      - RlinkServer               - use attn notifies to dispatch handlers
466
    - tools/src/librw11
467
      - Rw11CntlRK11              - re-organize, use now Rw11RdmaDisk
468
      - Rw11Cpu                   - add ibus address map
469
    - tools/src/librwxxtpp
470
      - RtclRw11CntlRK11          - add get/set for ChunkSize
471
      - RtclRw11Cpu               - add amap sub-command for ibus map access
472
 
473
  - Resolved known issues from V0.62
474
    - the rbus monitor (rbd_rbmon) has been updated to handle 16 bit addresses
475
 
476
  - Known issues
477
    - (V0.62): rlink v4 error recovery not yet implemented, will crash on error
478
    - (V0.62): command lists aren't split to fit in retransmit buffer size
479
      {both issues not relevant for w11 backend over USB usage because the
480
       backend produces proper command lists and the USB channel is error free}
481
 
482 27 wfjm
- trunk (2014-12-20: svn rev 27(oc) 614(wfjm); untagged w11a_V0.62)  +++++++++
483 5 wfjm
 
484 25 wfjm
  - Summary
485 27 wfjm
    - migrate to rlink protocol version 4
486
      - Goals for rlink v4
487
        - 16 bit addresses (instead of 8 bit)
488
        - more robust encoding, support for error recovery at transport level
489
        - add features to reduce round trips
490
          - improved attention handling
491
          - new 'list abort' command
492
      - For further details see README_Rlink_V4.txt
493
    - use own C++ based tcl shell tclshcpp instead of tclsh
494
 
495
    Notes:
496
      1. rlink protocol, core, and backend are updated in this release
497
      2. error recovery in backend not yet implemented
498
      3. the designs using rlink are still essentially unchanged
499
      4. the new rlink v4 features will be exploited in upcoming releases
500
 
501
  - New reference system
502
    The development and test system was upgraded from Kubuntu 12.04 to 14.04.
503
    The version of several key tools and libraries changed:
504
       linux kernel    3.13.0   (was  3.2.0)
505
       gcc/g++         4.8.2    (was  4.6.3)
506
       boost           1.54     (was  1.46.1)
507
       libusb          1.0.17   (was  1.0.9)
508
       perl            5.18.2   (was  5.14.2)
509
       tcl             8.5.15   (was  8.5.11)
510
       sdcc            3.3.0    (was  2.9.0)
511
       doxygen         1.8.7    {installed from sources; Ub 14.04 has 1.8.6}
512
 
513
    Notes:
514
      1. still using tcl 8.5 (even though 8.6 is now default in Ub 14.04)
515
      2. sdcc 3.x is not source compatible with sdcc 2.9. The Makefile
516
         allows to use both, see tools/fx2/src/README.txt .
517
      3. don't use doxygen 1.8.8, it fails to generate vhdl docs
518
 
519
  - New features
520
    - new environment variables TCLLIB and TCLLIBNAME. TCLLIBNAME must be
521
      defined, and hold the library name matching the Tcl version already
522
      specified with TCLINC.
523
    - new modules
524
      - rtl/vlib/comlib/crc16     - 16 bit crc generator (replaces crc8)
525
      - tools/src/tclshcpp/*      - new tclshcpp shell
526
 
527
  - Changes
528
    - rtl/vlib/comlib
529
      - byte2cdata,cdata2byte     - re-write, commas now 2 byte sequences
530
    - rtl/vlib/rlink
531
      - rlink_core                - re-write for rlink v4
532
    - rtl/*/*                     - use new rlink v4 iface and 4 bit STAT
533
    - rtl/vlib/rbus/rbd*          - new addresses in 16 bit rlink space
534
    - rtl/vlib/simlib/simlib      - add simfifo_*, wait_*, writetrace
535
    - tools/bin/
536
      - fx2load_wrapper           - use _ic instead of _as as default firmware
537
      - ti_rri                    - use tclshcpp (C++ based) rather tclsh
538
    - tools/fx2/bin/*.ihx         - recompiled with sdcc 3.3.0 + bugfixes
539
    - tools/fx2/src/Makefile      - support sdcc 3.3.0
540
    - tools/src/
541
      - */*.cpp                   - adopt for rlink v4; use nullptr
542
      - librlink/RlinkCrc16       - 16 crc, replaces RlinkCrc8
543
      - librlink/RlinkConnect     - many changes for rlink v4
544
      - librlink/RlinkPacketBuf*  - re-write for for rlink v4
545
    - tools/tcl/*/*.tcl           - adopt for rlink v4
546
    - renames:
547
      - tools/bin/telnet_starter  -> tools/bin/console_starter
548
 
549
  - Bug fixes
550
    - tools/fx2/src
551
      - dscr_gen.A51              - correct string 0 descriptor
552
      - lib/syncdelay.h           - handle triple nop now properly
553
 
554
  - Known issues
555
    - rlink v4 error recovery not yet implemented, will crash on error
556
    - command lists aren't split to fit in retransmit buffer size
557
      {both issues not relevant for w11 backend over USB usage because the
558
       backend produces proper command lists and the USB channel is error free}
559
    - the rbus monitor (rbd_rbmon) not yet handling 16 bit addresses and
560
      therefore of limited use
561
 
562
- trunk (2014-08-08: svn rev 25(oc) 579(wfjm); tagged w11a_V0.61)  +++++++++++
563
 
564
  - Summary
565 25 wfjm
    - The div instruction gave wrong results in some corner cases when either
566
      divisor or quotient were the largest negative integer (100000 or -32768).
567
      This is corrected now, for details see ECO-026-div.txt
568
    - some minor updates and fixes to support scripts
569
    - xtwi usage and XTWI_PATH setup explained in INSTALL.txt
570 5 wfjm
 
571 25 wfjm
  - New features
572
    - the Makefile's for in all rtl building block directories allow now to
573
      configure the target board for a test synthesis via the XTW_BOARD
574
      environment variable or XTW_BOARD= make option.
575
 
576
  - Changes
577
    - tools/bin/asm-11            - add call and return opcodes
578
    - tools/bin/create_disk       - add RM02,RM05,RP04,RP07 support
579
    - tools/bin/tbw               - use xtwi to start ISim models
580
    - tools/bin/ticonv_pdpcp      - add --tout and --cmax; support .sdef
581
    - tools/dox/*.Doxyfile        - use now doxygen 1.8.7
582
    - tools/src/librw11
583
      - Rw11CntlRK11              - add statistics
584
 
585
  - Bug fixes
586
    - rtl/w11a                    - div bug ECO-026
587
      - pdp11_munit                 - port changes; fix divide logic
588
      - pdp11_sequencer             - s_opg_div_sr: check for late div_quit
589
      - pdp11_dpath                 - port changes for pdp11_munit
590
    - tools/bin/create_disk       - repair --boot option (was inaccessible)
591
    - tools/bin/ti_w11            - split args now into ti_w11 opts and cmds
592
    - tools/src/librwxxtpp
593
      - RtclRw11Cpu                 - redo estatdef logic; avoid LastExpect()
594
    - tools/dox/make_doxy         - create directories, fix 'to view use' text
595
 
596
- w11a_V0.6 (2014-06-06) +++++++++++++++++++++++++++++++++++++++++++++++++++++
597
 
598 34 wfjm
  cummulative summary of key changes from w11a_V0.5 to w11a_V0.6
599 23 wfjm
  - revised ibus protocol V2  (in w11a_V0.51)
600
  - revised rbus protocol V3  (in w11a_V0.52)
601
  - backend server rewritten in C++ and Tcl (in w11a_V0.53 and w11a_V0.562)
602
  - add Nexys3 port of w11a (in w11a_V0.54)
603
  - add Cypress FX2 support (in w11a_V0.56 and w11a_V0.57)
604
  - added LP11,PC11 support (in w11a_V0.58)
605
  - reference system now ISE 14.7 and Ubuntu 12.04 64 bit, ghdl 0.31
606
  - many code cleanups; use numeric_std
607
  - many documentation improvements
608
  - development status upgraded to beta (from alpha)
609
 
610 25 wfjm
  for details see README-w11a_V.50-w11a_V0.60.txt
611 23 wfjm
 
612 11 wfjm
- w11a_V0.5 (2010-07-23) +++++++++++++++++++++++++++++++++++++++++++++++++++++
613 6 wfjm
 
614 5 wfjm
  Initial release with
615
  - w11a CPU core
616
  - basic set of peripherals: kw11l, dl11, lp11, pc11, rk11/rk05
617
  - just for fun: iist (not fully implemented and tested yet)
618
  - two complete system configurations with
619 29 wfjm
    - for a Digilent S3board    rtl/sys_gen/w11a/s3board/sys_w11a_s3
620 5 wfjm
    - for a Digilent Nexys2     rtl/sys_gen/w11a/nexys2/sys_w11a_n2

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.