OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [doc/] [README.txt] - Blame information for rev 30

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 wfjm
$Id: README.txt 680 2015-05-14 13:29:46Z mueller $
2 5 wfjm
 
3
Release notes for w11a
4
 
5
  Table of content:
6
 
7
  1. Documentation
8 25 wfjm
  2. Change Log
9 5 wfjm
 
10 9 wfjm
1. Documentation -------------------------------------------------------------
11 5 wfjm
 
12
  More detailed information on installation, build and test can be found
13
  in the doc directory, specifically
14
 
15
    * README.txt: release notes
16 30 wfjm
    * README_known_issues.txt: known issues
17 5 wfjm
    * INSTALL.txt: installation and building test benches and systems
18 25 wfjm
    * FILES.txt: short description of the directory layout, what is where ?
19 5 wfjm
    * w11a_tb_guide.txt: running test benches
20
    * w11a_os_guide.txt: booting operating systems
21 6 wfjm
    * w11a_known_issues.txt: known differences, limitations and issues
22 5 wfjm
 
23 25 wfjm
2. Change Log ----------------------------------------------------------------
24 5 wfjm
 
25 30 wfjm
- trunk (2015-05-14: svn rev 30(oc) 681(wfjm); untagged w11a_V0.65)  +++++++++
26
  - Preface
27
 
28
    - With small RK05 or RL02 sized disks only quite reduced OS setups could
29
      be booted, full featured systems were beyond reach. Now finally large
30
      disks are available, with a RH70 + RP/RM disk controller emulation. It
31
      supports up to four disks and allows now to run full featured 211bsd
32
      or rsx-11mplus systems.
33
 
34
    - to track down issues with ibus devices a 'ibus monitor' was added, it can
35
      record in the default setup up to 511 ibus transactions. An address filter
36
      allows to select accesses of one device. The ibd_ibmon tcl package
37
      contains the appropriate support scripts.
38
 
39
    - several cleanups
40
      - factor out common blocks on sys_w11a_* systems: the core+rbus+cache
41
        logic of single cpu systems now contained in pdp11_sys70, and the
42
        human I/O for digilent boards now in pdp11_hio70.
43
      - cpu start/stop logic cleanup: new command set with simple commands.
44
        Add also a new suspend/resume mechanism, which allows to hold the cpu
45
        without leaving the 'run state'. While suspended all timers are frozen.
46
        Very helpful when debugging, will be the basis for a hardware break
47
        point logic in a later release.
48
      - xon/xoff consolidation: escaping now done in cdata2byte/byte2cdata in
49
        FPGA and in RlinkPacketBufSnd/RlinkPacketBufRcv in backend. The extra
50
        escaping level in serport_xonrx/serport_xontx isn't used anymore, the
51
        special code in RlinkPortTerm has been removed. This allows to use
52
        xon/xoff flow control also in simulation links via RlinkPortFifo.
53
      - status check cleanup: it is very helpful to have a default status check
54
        and an easy way to modify it cases where some error flags are expected
55
        (e.g. on device polls). In the old logic status and data checks were
56
        done via RlinkCommandExpect. The new logic reflects that status checks
57
        are the normal case, and store the status check pattern in RlinkCommand.
58
        The meaning of expect masks for status and data is inverted, now a '1'
59
        means that the bit is checked (before it meant the bit is ignored).
60
        The default status check pattern is still in RlinkContext, but will be
61
        copied to RlinkCommand when the list is processed. RlinkCommandExpect
62
        handles now only data checks.
63
 
64
    - and bug fixes
65
      - rk11 cleanup: since the first days 211bsd autoconfig printed
66
           rk ? csr 177400 vector 220 didn't interrupt
67
        for boots from a RK11 it didn't have consequences, but when booted from
68
        a RL,RP, or RM disk this prevents that the RK11 disks are configured.
69
        Was caused by a missing interrupt after device reset. Now fixed.
70
 
71
  - Summary
72
    - added RH70/RP/RM big disk support
73
    - many cleanups
74
 
75
  - New features
76
    - new directory trees for
77
      - tools/asm-11/lib          - definitions and macros for asm-11
78
    - new modules
79
      - rtl/vlib/serport
80
        - serport_master          - serial port module, master side
81
      - rtl/ibus/ibd_ibmon        - ibus monitor
82
      - rtl/w11a/pdp11_sys70      - 11/70 system - single core +rbus,debug,cache
83
      - rtl/w11a/pdp11_hio70      - hio led and dsp for sys70
84
      - tools/src/librw11
85
        - Rw11(Cntl|Unit)RHRP       - Controller/Unit for RHRP
86
      - tools/tbench
87
        - test_rhrp_*               - test tbench for RHRP
88
    - new oskits
89
      - tools/oskit/211bsd_rp     - new oskit for 2.11BSD on RP06
90
      - tools/oskit/rsx11mp-30_rp - new oskit for RSX-11Mplus V3.0 on RP06
91
 
92
  - Changes
93
    - renames
94
      - rtl/w11a/pdp11_sys70 -> pdp11_reg70 (_sys70 now different function)
95
    - functional changes
96
      - rtl/bplib/*/tb/tb_*       - use serport_master instead of
97
                                      serport_uart_rxtx, allow xon/xoff
98
      - rtl/bplib/fx2rlink
99
        - rlink_sp1c_fx2          - add rbd_rbmon (optional via generics)
100
      - rtl/vlib/rlink/rlink_sp1c - add rbd_rbmon (optional via generics)
101
      - rtl/ibus/ibd_kw11l        - freeze timer when cpu suspended
102
      - tools/bin/tbrun_tbwrri    - add --fusp,--xon
103
      - tools/bin/ti_w11          - rename -fu->-fc, add -f2,-fx; setup defaults
104
      - tools/bin/librlink
105
        - RlinkCommandList          - add SetLastExpect() methods
106
        - RlinkPort                 - add XonEnable()
107
        - RlinkPortCuff             - add noinit attribute
108
        - RlinkPort(Fifo|Term)      - add xon,noinit attributes
109
     - tools/src/librw11
110
       - Rw11Cpu                    - add AddRbibr(), AddWbibr(), RAddrMap()
111
     - tools/bin/librlinktpp
112
        - RtclRlinkConnect          - errcnt: add -increment
113
                                      log: add -bare,-info..
114
                                      wtlam: allow tout=0 for attn cleanup
115
                                      init: new command
116
                                      exec: drop -estatdef
117
        - RtclRlinkServer           - get/set interface added
118
     - tools/src/librwxxtpp
119
       - RtclRw11Cntl               - start: new command
120
       - RtclRw11Cpu                - cp: add -rbibr, wbibr, -rreg,...,-init
121
                                    - cp: add -estat(err|nak|tout), drop estatdef
122
                                    - rename amap->imap; add rmap
123
 
124
  - Bug fixes
125
    - rtl/ibus
126
      - ibdr_rk11                 - interrupt after dreset and seek command start
127
    - tools/src/librlink
128
      - RlinkConnect                - WaitAttn(): return 0. (not -1.) if poll
129
      - RlinkServer                 - Stop(): fix race in (could hang)
130
 
131
  - Known issues
132
    - all issues: see README_known_issues.txt
133
    - resolved issues: -- none --
134
    - new issues:
135
      - V0.65-1: ti_rri sometimes crashes in normal rundown (exit or ^D) when
136
          a cuff: type rlink is active. One gets
137
            terminate called after throwing an instance of 'Retro::Rexception'
138
              what():  RlinkPortCuff::Cleanup(): driver thread failed to stop
139
          doesn't affect normal operation, will be fixed in upcoming release.
140
      - V0.65-2: some exotic RH70/RP/RM features and conditions not implemented
141
         - last block transfered flag (in DS)
142
         - CS2.BAI currently ignored and not handled
143
         - read or write 'with header' gives currently ILF
144
         All this isn't used by any OS, so in practice not relevant.
145
 
146 29 wfjm
- trunk (2015-03-01: svn rev 29(oc) 655(wfjm); untagged w11a_V0.64)  +++++++++
147
 
148
  - Preface
149
    - The w11 project started on a Spartan-3 based Digilent S3board, and soon
150
      moved on to a Nexys2 with much better connectivity. Next step was the
151
      Spartan-6 based Nexys3. Now is time to continue with 7-Series FPGAs.
152
    - When Vivado started in 2013 it was immediately clear that the architecture
153
      is far superior to ISE. But tests with the first versions were sobering,
154
      the w11a design either didn't compile at all, or produced faulty synthesis
155
      results. In 2014 Vivado matured, and the current version 2014.4 works
156
      fine with the w11a code base.
157
    - The original Nexys4 board allowed to quickly port Nexys3 version because
158
      both have the same memory chip. The newer Nexys4 DDR will be addressed
159
      later.
160
    - The BRAM capacity of FPGAs increased significantly over time. The low
161
      cost Basys3 board with the second smallest Artix-7 (XC7A35T) has 200 KB
162
      BRAM. That allows to implement a purely BRAM based w11a system with
163
      176 kB memory. Not enough for 2.11BSD, but for many other less demanding
164
      OS available for a PDP11.
165
    - The Nexyx4 and Basys3 have 16 LEDs. Not quite the 'blinking lights'
166
      console of the classic 11/45 and 11/70, but enough to display the
167
      well known OS typical light patterns the veterans remember so well.
168
    - With a new design tool, a new FPGA generation, two new boards, and a
169
      new interface for the rlink connection that some of the code and tools
170
      base had to be re-organized.
171
    - Last but not least: finally access to a bit bigger disks: RL11 support
172
    - Many changes, some known issues, some rough edges may still lurke around
173
 
174
  - Summary
175
    - added support for Vivado
176
    - added support for Nexys4 and Basys3 boards
177
    - added RL11 disk support
178
    - lots of documentation updated
179
 
180
  - New features
181
    - new directory trees for
182
      - rtl/bplib/basys3            - support for Digilent Basys3 board
183
      - rtl/bplib/nexys4            - support for Digilent Nexys4 board
184
      - rtl/make_viv                - make includes for Vivado
185
    - new files
186
      - tools/bin/xviv_ghdl_unisim  - ghdl compile Vivado UNISIM & UNIMACRO libs
187
    - new modules
188
      - rtl/ibus/ibdr_rl11          - ibus controller for RL11
189
      - rtl/vlib/rlink/ioleds_sp1c  - io activity leds for rlink+serport_1clk
190
      - rtl/vlib/xlib
191
        - s7_cmt_sfs_gsim             - Series-7 CMT: simple vhdl model
192
        - s7_cmt_sfs_unisim           - Series-7 CMT: wrapper for UNISIM
193
      - rtl/w11a
194
        - pdp11_bram_memctl           - simple BRAM based memctl
195
        - pdp11_dspmux                - mux for hio display
196
        - pdp11_ledmux                - mux for hio leds
197
        - pdp11_statleds              - status led generator
198
      - tools/src/librw11/
199
        - Rw11*RL11                   - classes for RL11 disk handling
200
      - tools/src/librwxxtpp
201
        - RtclRw11*RL11               - tcl iface for RL11 disk handling
202
    - new systems
203
      - rtl/sys_gen/tst_rlink       - rlink tester
204
        - basys3/sys_tst_rlink_b3     - for Basys3
205
        - nexys4/sys_tst_rlink_n4     - for Nexys4
206
      - rtl/sys_gen/tst_serloop     - serport loop tester
207
        - nexys4/sys_tst_serloop_n4   - for Nexys4
208
      - rtl/sys_gen/tst_snhumanio   - human I/O tester
209
        - basys3/sys_tst_snhumanio_b3 - for Basys3
210
        - nexys4/sys_tst_snhumanio_n4 - for Nexys4
211
      - rtl/sys_gen/w11a            - w11a
212
        - basys3/sys_w11a_b3          - small BRAM only (176 kB memory)
213
        - nexys4/sys_w11a_n4          - with full 4 MB memory using cram
214
    - new oskits
215
      - tools/oskit/211bsd_rl       - new oskit for 2.11BSD on RL02
216
      - tools/oskit/rt11-53_rl      - new oskit for RT11 V5.3 on RL02
217
      - tools/oskit/xxdp_rl         - new oskit for XXDP 22 and 25 on RL02
218
 
219
  - Changes
220
    - renames
221
      - ensure that old ISE and new Vivado co-exists, ensure telling names
222
        - rtl/make                        -> make_ise
223
        - rtl/bplib/bpgen/sn_4x7segctl    -> sn_7segctl
224
        - tools/bin/isemsg_filter         -> xise_msg_filter
225
        - tools/bin/xilinx_ghdl_unisim    -> xise_ghdl_unisim
226
        - tools/bin/xilinx_ghdl_simprim   -> xise_ghdl_simprim
227
 
228
    - retired files
229
      - rtl/bplib/fx2lib
230
        - fx2_2fifoctl_as    - obsolete, wasn't actively used since long
231
      - tools/bin
232
        - set_ftdi_lat       - obsolete, since kernel 2.6.32 the default is 1 ms
233
        - xilinx_vhdl_chop   - obsolete, since ISE 11 sources come chopped
234
 
235
    - functional changes
236
      - $RETROBASE/Makefile           - re-structured, many new targets
237
      - rtl/bplib/bpgen
238
        - sn_7segctl                  - handle also 8 digit displays
239
        - sn_humanio                  - configurable SWI and DSP width
240
        - sn_humanio_rbus             - configurable SWI and DSP width
241
      - rtl/vlib/serport
242
        - serport_1clock              - export fractional part of divider
243
      - rtl/ibus
244
        - ibdr_maxisys                - add RL11 (ibdr_rl11)
245
      - rtl/sys_gen/w11a/*
246
        - sys_w11a_*                  - use new led and dsp control modules
247
      - tools/src/librlink
248
        - RlinkConnect                - drop LogOpts, indivitual getter/setter
249
        - RlinkPortTerm               - support custom baud rates (5M,6M,10M,12M)
250
      - tools/src/librtcltools
251
        - RtclGetList                 - add '?' (key list) and '*' (kv list)
252
        - RtclSetList                 - add '?' (key list)
253
        - RlogFile                    - Open(): now with cout/cerr support
254
      - tools/src/librlinktpp
255
        - RtclRlinkConnect            - drop config cmd, use get/set cmd
256
        - RtclRlinkPort               - drop config cmd, use get/set cmd
257
      - tools/src/librw11
258
        - Rw11Rdma                    - PreExecCB() with nwdone and nwnext
259
        - Rw11UnitDisk                - add Nwrd2Nblk()
260
      - tools/src/librwxxtpp
261
        - RtclRw11CntlFactory         - add RL11 support
262
      - tools/bin
263
        - xise_ghdl_unisim            - handle also UNIMACRO lib
264
        - vbomconv                    - handle Vivado flows too
265
 
266
  - Bug fixes
267
    - tools/src/librw11
268
      - Rw11CntlRK11                  - revise RdmaPostExecCB() logic
269
 
270
  - Known issues
271
    - V0.64-7: ghdl simulated OS boots via ti_w11 (-n4 ect options) fail due to
272
        a flow control issue (likely since V0.63).
273
    - V0.64-6: IO delays still unconstraint in vivado. All critical IOs use
274
        explicitly IOB flops, thus timing well defined.
275
    - V0.64-5: w11a_tb_guide.txt covers only ISE based tests (see also V0.64-4).
276
    - V0.64-4: No support for the Vivado simulator (xsim) yet. With ghdl only
277
        functional simulations, post synthesis (_ssim) fails to compile.
278
    - V0.64-3: Highest baud rate with basys3 and nexys4 is 10 MBaud. 10 MBaud
279
        is not supported according to FTDI, but works. 12 MBaud in next release.
280
    - V0.64-2: rlink throughput on basys3/nexys4 limited by serial port stack
281
        round trip times. Will be overcome by libusb based custom driver.
282
    - V0.64-1: The large default transfer size for disk accesses leads to bad
283
        throughput in the DL11 emulation for low speed links, like the
284
        460kBaud the S3board is limited too. Will be overcome by a DL11
285
        controller with more buffering.
286
    - V0.62-2: rlink v4 error recovery not yet implemented, will crash on error
287
    - V0.62-1: Command lists aren't split to fit in retransmit buffer size
288
        {last two issues not relevant for w11 backend over USB usage because
289
        the backend produces proper command lists and the USB channel is
290
        usually error free}
291
 
292 28 wfjm
- trunk (2015-01-04: svn rev 28(oc) 629(wfjm); untagged w11a_V0.63)  +++++++++
293
 
294
  - Summary
295
    - the w11a rbus interface used so far a narrow dynamically adjusted
296
      rbus->ibus window. Replaces with a 4k word window for whole IO page.
297
    - utilize rlink protocol version 4 features in w11a backend
298
      - use attn notifies to dispatch attn handlers
299
      - use larger blocks (7*512 rather 1*512 bytes) for rdma transfers
300
      - use labo and merge csr updates with last block transfer
301
      - this combined reduces the number of round trips by a factor 2 to 3,
302
        and in some cases the throughput accordingly.
303
 
304
  - Remarks on reference system
305
    - still using tcl 8.5 (even though 8.6 is now default in Ub 14.04)
306
    - don't use doxygen 1.8.8 and 1.8.9, it fails to generate vhdl docs
307
 
308
  - New features
309
    - new modules
310
      - tools/bin
311
        - ghdl_assert_filter      - filter to suppress startup warnings
312
        - tbrun_tbw               - wrapper for tbw based test benches
313
        - tbrun_tbwrri            - wrapper for ti_rri + tbw based test benches
314
      - tools/src/librw11
315
        - Rw11Rdma                - Rdma engine base class
316
        - Rw11RdmaDisk            - Rdma engine for disk emulation
317
 
318
  - Changes
319
    - rtl/vlib/rlink
320
      - rlink_core                - use 4th stat bit to signal rbus timeout
321
    - rtl/vlib/rbus
322
      - rbd_rbmon                 - reorganized, supports now 16 bit addresses
323
    - rtl/w11a
324
      - pdp11_core_rbus           - use full size 4k word ibus window
325
    - tools/bin/tbw               - add -fifo and -verbose options
326
    - tools/src/librtools
327
      - Rexception                - add ctor from RerrMsg
328
    - tools/src/librlink
329
      - RlinkCommandExpect        - rblk/wblk done counts now expectable
330
      - RlinkConnect              - cleanups and minor enhancements
331
      - RlinkServer               - use attn notifies to dispatch handlers
332
    - tools/src/librw11
333
      - Rw11CntlRK11              - re-organize, use now Rw11RdmaDisk
334
      - Rw11Cpu                   - add ibus address map
335
    - tools/src/librwxxtpp
336
      - RtclRw11CntlRK11          - add get/set for ChunkSize
337
      - RtclRw11Cpu               - add amap sub-command for ibus map access
338
 
339
  - Resolved known issues from V0.62
340
    - the rbus monitor (rbd_rbmon) has been updated to handle 16 bit addresses
341
 
342
  - Known issues
343
    - (V0.62): rlink v4 error recovery not yet implemented, will crash on error
344
    - (V0.62): command lists aren't split to fit in retransmit buffer size
345
      {both issues not relevant for w11 backend over USB usage because the
346
       backend produces proper command lists and the USB channel is error free}
347
 
348 27 wfjm
- trunk (2014-12-20: svn rev 27(oc) 614(wfjm); untagged w11a_V0.62)  +++++++++
349 5 wfjm
 
350 25 wfjm
  - Summary
351 27 wfjm
    - migrate to rlink protocol version 4
352
      - Goals for rlink v4
353
        - 16 bit addresses (instead of 8 bit)
354
        - more robust encoding, support for error recovery at transport level
355
        - add features to reduce round trips
356
          - improved attention handling
357
          - new 'list abort' command
358
      - For further details see README_Rlink_V4.txt
359
    - use own C++ based tcl shell tclshcpp instead of tclsh
360
 
361
    Notes:
362
      1. rlink protocol, core, and backend are updated in this release
363
      2. error recovery in backend not yet implemented
364
      3. the designs using rlink are still essentially unchanged
365
      4. the new rlink v4 features will be exploited in upcoming releases
366
 
367
  - New reference system
368
    The development and test system was upgraded from Kubuntu 12.04 to 14.04.
369
    The version of several key tools and libraries changed:
370
       linux kernel    3.13.0   (was  3.2.0)
371
       gcc/g++         4.8.2    (was  4.6.3)
372
       boost           1.54     (was  1.46.1)
373
       libusb          1.0.17   (was  1.0.9)
374
       perl            5.18.2   (was  5.14.2)
375
       tcl             8.5.15   (was  8.5.11)
376
       sdcc            3.3.0    (was  2.9.0)
377
       doxygen         1.8.7    {installed from sources; Ub 14.04 has 1.8.6}
378
 
379
    Notes:
380
      1. still using tcl 8.5 (even though 8.6 is now default in Ub 14.04)
381
      2. sdcc 3.x is not source compatible with sdcc 2.9. The Makefile
382
         allows to use both, see tools/fx2/src/README.txt .
383
      3. don't use doxygen 1.8.8, it fails to generate vhdl docs
384
 
385
  - New features
386
    - new environment variables TCLLIB and TCLLIBNAME. TCLLIBNAME must be
387
      defined, and hold the library name matching the Tcl version already
388
      specified with TCLINC.
389
    - new modules
390
      - rtl/vlib/comlib/crc16     - 16 bit crc generator (replaces crc8)
391
      - tools/src/tclshcpp/*      - new tclshcpp shell
392
 
393
  - Changes
394
    - rtl/vlib/comlib
395
      - byte2cdata,cdata2byte     - re-write, commas now 2 byte sequences
396
    - rtl/vlib/rlink
397
      - rlink_core                - re-write for rlink v4
398
    - rtl/*/*                     - use new rlink v4 iface and 4 bit STAT
399
    - rtl/vlib/rbus/rbd*          - new addresses in 16 bit rlink space
400
    - rtl/vlib/simlib/simlib      - add simfifo_*, wait_*, writetrace
401
    - tools/bin/
402
      - fx2load_wrapper           - use _ic instead of _as as default firmware
403
      - ti_rri                    - use tclshcpp (C++ based) rather tclsh
404
    - tools/fx2/bin/*.ihx         - recompiled with sdcc 3.3.0 + bugfixes
405
    - tools/fx2/src/Makefile      - support sdcc 3.3.0
406
    - tools/src/
407
      - */*.cpp                   - adopt for rlink v4; use nullptr
408
      - librlink/RlinkCrc16       - 16 crc, replaces RlinkCrc8
409
      - librlink/RlinkConnect     - many changes for rlink v4
410
      - librlink/RlinkPacketBuf*  - re-write for for rlink v4
411
    - tools/tcl/*/*.tcl           - adopt for rlink v4
412
    - renames:
413
      - tools/bin/telnet_starter  -> tools/bin/console_starter
414
 
415
  - Bug fixes
416
    - tools/fx2/src
417
      - dscr_gen.A51              - correct string 0 descriptor
418
      - lib/syncdelay.h           - handle triple nop now properly
419
 
420
  - Known issues
421
    - rlink v4 error recovery not yet implemented, will crash on error
422
    - command lists aren't split to fit in retransmit buffer size
423
      {both issues not relevant for w11 backend over USB usage because the
424
       backend produces proper command lists and the USB channel is error free}
425
    - the rbus monitor (rbd_rbmon) not yet handling 16 bit addresses and
426
      therefore of limited use
427
 
428
- trunk (2014-08-08: svn rev 25(oc) 579(wfjm); tagged w11a_V0.61)  +++++++++++
429
 
430
  - Summary
431 25 wfjm
    - The div instruction gave wrong results in some corner cases when either
432
      divisor or quotient were the largest negative integer (100000 or -32768).
433
      This is corrected now, for details see ECO-026-div.txt
434
    - some minor updates and fixes to support scripts
435
    - xtwi usage and XTWI_PATH setup explained in INSTALL.txt
436 5 wfjm
 
437 25 wfjm
  - New features
438
    - the Makefile's for in all rtl building block directories allow now to
439
      configure the target board for a test synthesis via the XTW_BOARD
440
      environment variable or XTW_BOARD= make option.
441
 
442
  - Changes
443
    - tools/bin/asm-11            - add call and return opcodes
444
    - tools/bin/create_disk       - add RM02,RM05,RP04,RP07 support
445
    - tools/bin/tbw               - use xtwi to start ISim models
446
    - tools/bin/ticonv_pdpcp      - add --tout and --cmax; support .sdef
447
    - tools/dox/*.Doxyfile        - use now doxygen 1.8.7
448
    - tools/src/librw11
449
      - Rw11CntlRK11              - add statistics
450
 
451
  - Bug fixes
452
    - rtl/w11a                    - div bug ECO-026
453
      - pdp11_munit                 - port changes; fix divide logic
454
      - pdp11_sequencer             - s_opg_div_sr: check for late div_quit
455
      - pdp11_dpath                 - port changes for pdp11_munit
456
    - tools/bin/create_disk       - repair --boot option (was inaccessible)
457
    - tools/bin/ti_w11            - split args now into ti_w11 opts and cmds
458
    - tools/src/librwxxtpp
459
      - RtclRw11Cpu                 - redo estatdef logic; avoid LastExpect()
460
    - tools/dox/make_doxy         - create directories, fix 'to view use' text
461
 
462
- w11a_V0.6 (2014-06-06) +++++++++++++++++++++++++++++++++++++++++++++++++++++
463
 
464
  cummulative summary of key changes from w11a_V0.5 to w11a_V0.60
465 23 wfjm
  - revised ibus protocol V2  (in w11a_V0.51)
466
  - revised rbus protocol V3  (in w11a_V0.52)
467
  - backend server rewritten in C++ and Tcl (in w11a_V0.53 and w11a_V0.562)
468
  - add Nexys3 port of w11a (in w11a_V0.54)
469
  - add Cypress FX2 support (in w11a_V0.56 and w11a_V0.57)
470
  - added LP11,PC11 support (in w11a_V0.58)
471
  - reference system now ISE 14.7 and Ubuntu 12.04 64 bit, ghdl 0.31
472
  - many code cleanups; use numeric_std
473
  - many documentation improvements
474
  - development status upgraded to beta (from alpha)
475
 
476 25 wfjm
  for details see README-w11a_V.50-w11a_V0.60.txt
477 23 wfjm
 
478 11 wfjm
- w11a_V0.5 (2010-07-23) +++++++++++++++++++++++++++++++++++++++++++++++++++++
479 6 wfjm
 
480 5 wfjm
  Initial release with
481
  - w11a CPU core
482
  - basic set of peripherals: kw11l, dl11, lp11, pc11, rk11/rk05
483
  - just for fun: iist (not fully implemented and tested yet)
484
  - two complete system configurations with
485 29 wfjm
    - for a Digilent S3board    rtl/sys_gen/w11a/s3board/sys_w11a_s3
486 5 wfjm
    - for a Digilent Nexys2     rtl/sys_gen/w11a/nexys2/sys_w11a_n2

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.