OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [doc/] [README.txt] - Blame information for rev 32

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 wfjm
$Id: README.txt 693 2015-06-21 14:02:46Z mueller $
2 5 wfjm
 
3
Release notes for w11a
4
 
5
  Table of content:
6
 
7
  1. Documentation
8 25 wfjm
  2. Change Log
9 5 wfjm
 
10 9 wfjm
1. Documentation -------------------------------------------------------------
11 5 wfjm
 
12
  More detailed information on installation, build and test can be found
13
  in the doc directory, specifically
14
 
15
    * README.txt: release notes
16 30 wfjm
    * README_known_issues.txt: known issues
17 5 wfjm
    * INSTALL.txt: installation and building test benches and systems
18 25 wfjm
    * FILES.txt: short description of the directory layout, what is where ?
19 5 wfjm
    * w11a_tb_guide.txt: running test benches
20
    * w11a_os_guide.txt: booting operating systems
21 6 wfjm
    * w11a_known_issues.txt: known differences, limitations and issues
22 5 wfjm
 
23 25 wfjm
2. Change Log ----------------------------------------------------------------
24 5 wfjm
 
25 32 wfjm
- w11a_V0.60 -> w11a_V0.70 cummulative summary of key changes
26
  - Bugfix for DIV instruction  (in w11a_V0.61, see ECO-026-div.txt)
27
  - revised rbus protocol V4    (in w11a_V0.62, see README_Rlink_V4.txt)
28
  - add basic Vivado support    (in w11a_V0.64)
29
  - add Nexys4 and Basys3 port of w11a (in w11a_V0.64)
30
  - add RH70+RP/RM disk support (in w11a_V0.65)
31
  - add TM11/TY10 tape support  (in w11a_V0.66)
32
  - reference system now ISE 14.7, Vivado 2014.4; Ubuntu 14.04 64 bit, ghdl 0.31
33
 
34
- trunk (2015-06-21: svn rev 33(oc) 693(wfjm); tagged w11a_V0.70)  +++++++++++
35
  - Preface
36
    - resolved known issue V0.66-2: operation with multiple RP or RM disks
37
      under 211bsd works now. Issue was caused by a faulty error check.
38
    - resolved bug tracker issue 2015-06-06: the tm11 offline function works
39
      now as expected. Issue was caused by de-referencing a null pointer.
40
    - resolved bug tracker request 2015-06-05: the values returned as drive
41
      serial number were interpreted by 211bsd standalone code as a signature
42
      of SI drives, which made disk partitioning a bit cumbersome. Changed the
43
      scheme used to generate drive serial numbers such that they never match
44
      these 3rd party drive characteristics. The 211bsd installation on a
45
      RM05 is documented with the 211bsd_tm oskit.
46
    - the w11a designs grow larger, filling the FPGA's on Nexys2 and Nexys3
47
      to ~50% (n2) or 67% (n3). To reach timing closure without fine tuning
48
      constraints the cpu clock had to be reduced to
49
        sys_w11a_n2  now 52 MHz (was 54 MHz)
50
        sys_w11a_n3  now 64 MHz (was 68 MHz)
51
 
52
    - w11a has now a complete set of mass storage peripherals. This is a good
53
      reason of a major release, thus go for version V0.70.
54
 
55
    - there are many known issues, and in many cases only core functionality
56
      used by operating systems has been implemented. The missing parts will
57
      be implemented in the upcoming releases towards V0.80, also much more
58
      intensive testing, especially with maindecs (aka xxdp) will be done.
59
 
60
  - Summary
61
    - rhrp and tm11 bug fixes
62
    - no major functionality added
63
 
64
  - New features
65
 
66
  - Changes
67
    - renames
68
      - tools/oskit/211bsd_tm/211bsd_tm_boot.* -> 211bsd_tm_rp06_boot.*
69
    - functional changes
70
      - rtl/ibus/ibdr_rhrp        - modify sn register to avoid 211bsd issues
71
      - tools/bin/create_disk     - support RM80 disks
72
      - tools/tcl/rutil/util.tcl  - add dohook
73
      - tools/oskit/*/*_boot.tcl  - add preinithook and preboothook
74
 
75
  - Bug fixes
76
    - rtl/ibus/ibdr_rhrp          - set er1.rmr only when unit busy
77
                                  - set cs2.pge only when controller busy
78
    - tools/src/librw11
79
      - Rw11CntlTM11              - fix crash when offline function was executed
80
 
81
  - Known issues
82
    - all issues: see README_known_issues.txt
83
    - resolved issues:
84
      - V0.66-2: operation with multiple RP/RM drives works now under 211bsd
85
 
86 31 wfjm
- trunk (2015-06-05: svn rev 31(oc) 687(wfjm); untagged w11a_V0.66)  +++++++++
87
  - Preface
88
 
89
    - Since the previous release a full set of small, medium and large sized
90
      disks (RK,RL,RP/RM) is available, covering all use cases. Still missing
91
      was a tape system, which allows to install systems from distribution tapes
92
      but is also very handy for data exchange. This release adds a TM11/TU10
93
      tape controller emulation. This is much simpler to implement than a
94
      massbus based TU16 or TU78 controller. Because storage is emulated there
95
      is neither a speed nor a capacity advantage of 1600 or 6250 bpi drives,
96
      so for all practical purposes the simple 800 bpi TU10 drive emulation is
97
      fully adequate.
98
      The TM11/TU10 was tested under 211bsd with creating a tape distribution
99
      kit and building a RP06 based system from such a tape. A 211bsd_tm
100
      oskit is provided with a recipe to restore a RP06 from tape.
101
 
102
    - bug fixes
103
      - the ti_rri event loop aborted under heavy load with three devices, seen
104
        when RP disk, TM tape and DL11 run simultaneously. Was caused by a race
105
        condition in attention handling and dispatching.
106
      - the boot command failed when cpu was running and the unit not decoded
107
        properly, so boots from units other then 0 failed.
108
 
109
  - Summary
110
    - added TM11/TU10 tape support
111
 
112
  - New features
113
    - new modules
114
      - rtl/ibus/ibdr_rm11        - ibus controller for RM11
115
      - tools/bin
116
        - file2tap                  - create a tap container from disk files
117
        - tap2file                  - split a tap container into disk files
118
      - tools/src/librw11
119
        - Rw11(Cntl|Unit)TM11     - Controller/Unit for TM11
120
        - Rw11UnitTape(|Base)     - support for tape units
121
        - Rw11VirtTape(|Tap)      - virtual tapes (generic and tap containers)
122
      - tools/tcl/rw11
123
        - tbench.tcl                - support sub directories and return in tests
124
    - new oskits
125
      - tools/oskit/211bsd_tm     - 2.11BSD tape distribution kit (for RP06)
126
 
127
  - Changes
128
    - renames
129
      - tools/tbench              - the text benches were re-organized and
130
                                    grouped now in sub directories:
131
                                      cp    for w11a control port
132
                                      w11a  for w11a CPU tests
133
                                      rhrp  for RHRP device tests
134
                                      tm11  for TM11 device tests
135
    - functional changes
136
      - tools/bin/create_disk       - add RM80 support
137
 
138
  - Bug fixes
139
    - tools/src/librlink
140
      - RlinkServer                  - fix race condition in attention handling
141
    - tools/src/librw11
142
      - Rw11Cpu                      - stop cpu before load, proper unit handling
143
 
144
  - Known issues
145
    - all issues: see README_known_issues.txt
146
    - resolved issues: -- none --
147
    - new issues:
148
      - V0.66-1: the TM11 controller transfers data byte wise (all disk do it
149
          16bit word wise) and allows for odd byte length transfers. Odd length
150
          transfers are currently not supported and rejected as invalid command.
151
          Odd byte length records aren't used by OS, if at all, so in practice
152
          this limitation isn't relevant.
153
      - V0.66-2: using two RP06 drives in parallel under 211bsd leads to a
154
          hangup of the system after a short time. Currently only operation
155
          of a single drive works reliably.
156
 
157 30 wfjm
- trunk (2015-05-14: svn rev 30(oc) 681(wfjm); untagged w11a_V0.65)  +++++++++
158
  - Preface
159
 
160
    - With small RK05 or RL02 sized disks only quite reduced OS setups could
161
      be booted, full featured systems were beyond reach. Now finally large
162
      disks are available, with a RH70 + RP/RM disk controller emulation. It
163
      supports up to four disks and allows now to run full featured 211bsd
164
      or rsx-11mplus systems.
165
 
166
    - to track down issues with ibus devices a 'ibus monitor' was added, it can
167
      record in the default setup up to 511 ibus transactions. An address filter
168
      allows to select accesses of one device. The ibd_ibmon tcl package
169
      contains the appropriate support scripts.
170
 
171
    - several cleanups
172
      - factor out common blocks on sys_w11a_* systems: the core+rbus+cache
173
        logic of single cpu systems now contained in pdp11_sys70, and the
174
        human I/O for digilent boards now in pdp11_hio70.
175
      - cpu start/stop logic cleanup: new command set with simple commands.
176
        Add also a new suspend/resume mechanism, which allows to hold the cpu
177
        without leaving the 'run state'. While suspended all timers are frozen.
178
        Very helpful when debugging, will be the basis for a hardware break
179
        point logic in a later release.
180
      - xon/xoff consolidation: escaping now done in cdata2byte/byte2cdata in
181
        FPGA and in RlinkPacketBufSnd/RlinkPacketBufRcv in backend. The extra
182
        escaping level in serport_xonrx/serport_xontx isn't used anymore, the
183
        special code in RlinkPortTerm has been removed. This allows to use
184
        xon/xoff flow control also in simulation links via RlinkPortFifo.
185
      - status check cleanup: it is very helpful to have a default status check
186
        and an easy way to modify it cases where some error flags are expected
187
        (e.g. on device polls). In the old logic status and data checks were
188
        done via RlinkCommandExpect. The new logic reflects that status checks
189
        are the normal case, and store the status check pattern in RlinkCommand.
190
        The meaning of expect masks for status and data is inverted, now a '1'
191
        means that the bit is checked (before it meant the bit is ignored).
192
        The default status check pattern is still in RlinkContext, but will be
193
        copied to RlinkCommand when the list is processed. RlinkCommandExpect
194
        handles now only data checks.
195
 
196
    - and bug fixes
197
      - rk11 cleanup: since the first days 211bsd autoconfig printed
198
           rk ? csr 177400 vector 220 didn't interrupt
199
        for boots from a RK11 it didn't have consequences, but when booted from
200
        a RL,RP, or RM disk this prevents that the RK11 disks are configured.
201
        Was caused by a missing interrupt after device reset. Now fixed.
202
 
203
  - Summary
204
    - added RH70/RP/RM big disk support
205
    - many cleanups
206
 
207
  - New features
208
    - new directory trees for
209
      - tools/asm-11/lib          - definitions and macros for asm-11
210
    - new modules
211
      - rtl/vlib/serport
212
        - serport_master          - serial port module, master side
213
      - rtl/ibus/ibd_ibmon        - ibus monitor
214 31 wfjm
      - rtl/ibus/ibdr_rhrp        - ibus controller for RH70 plus RP/RM drives
215 30 wfjm
      - rtl/w11a/pdp11_sys70      - 11/70 system - single core +rbus,debug,cache
216
      - rtl/w11a/pdp11_hio70      - hio led and dsp for sys70
217
      - tools/src/librw11
218
        - Rw11(Cntl|Unit)RHRP       - Controller/Unit for RHRP
219
      - tools/tbench
220
        - test_rhrp_*               - test tbench for RHRP
221
    - new oskits
222
      - tools/oskit/211bsd_rp     - new oskit for 2.11BSD on RP06
223
      - tools/oskit/rsx11mp-30_rp - new oskit for RSX-11Mplus V3.0 on RP06
224
 
225
  - Changes
226
    - renames
227
      - rtl/w11a/pdp11_sys70 -> pdp11_reg70 (_sys70 now different function)
228
    - functional changes
229
      - rtl/bplib/*/tb/tb_*       - use serport_master instead of
230
                                      serport_uart_rxtx, allow xon/xoff
231
      - rtl/bplib/fx2rlink
232
        - rlink_sp1c_fx2          - add rbd_rbmon (optional via generics)
233
      - rtl/vlib/rlink/rlink_sp1c - add rbd_rbmon (optional via generics)
234
      - rtl/ibus/ibd_kw11l        - freeze timer when cpu suspended
235
      - tools/bin/tbrun_tbwrri    - add --fusp,--xon
236
      - tools/bin/ti_w11          - rename -fu->-fc, add -f2,-fx; setup defaults
237
      - tools/bin/librlink
238
        - RlinkCommandList          - add SetLastExpect() methods
239
        - RlinkPort                 - add XonEnable()
240
        - RlinkPortCuff             - add noinit attribute
241
        - RlinkPort(Fifo|Term)      - add xon,noinit attributes
242
     - tools/src/librw11
243
       - Rw11Cpu                    - add AddRbibr(), AddWbibr(), RAddrMap()
244
     - tools/bin/librlinktpp
245
        - RtclRlinkConnect          - errcnt: add -increment
246
                                      log: add -bare,-info..
247
                                      wtlam: allow tout=0 for attn cleanup
248
                                      init: new command
249
                                      exec: drop -estatdef
250
        - RtclRlinkServer           - get/set interface added
251
     - tools/src/librwxxtpp
252
       - RtclRw11Cntl               - start: new command
253
       - RtclRw11Cpu                - cp: add -rbibr, wbibr, -rreg,...,-init
254
                                    - cp: add -estat(err|nak|tout), drop estatdef
255
                                    - rename amap->imap; add rmap
256
 
257
  - Bug fixes
258
    - rtl/ibus
259
      - ibdr_rk11                 - interrupt after dreset and seek command start
260
    - tools/src/librlink
261
      - RlinkConnect                - WaitAttn(): return 0. (not -1.) if poll
262
      - RlinkServer                 - Stop(): fix race in (could hang)
263
 
264
  - Known issues
265
    - all issues: see README_known_issues.txt
266
    - resolved issues: -- none --
267
    - new issues:
268
      - V0.65-1: ti_rri sometimes crashes in normal rundown (exit or ^D) when
269
          a cuff: type rlink is active. One gets
270
            terminate called after throwing an instance of 'Retro::Rexception'
271
              what():  RlinkPortCuff::Cleanup(): driver thread failed to stop
272
          doesn't affect normal operation, will be fixed in upcoming release.
273
      - V0.65-2: some exotic RH70/RP/RM features and conditions not implemented
274
         - last block transfered flag (in DS)
275
         - CS2.BAI currently ignored and not handled
276
         - read or write 'with header' gives currently ILF
277
         All this isn't used by any OS, so in practice not relevant.
278
 
279 29 wfjm
- trunk (2015-03-01: svn rev 29(oc) 655(wfjm); untagged w11a_V0.64)  +++++++++
280
 
281
  - Preface
282
    - The w11 project started on a Spartan-3 based Digilent S3board, and soon
283
      moved on to a Nexys2 with much better connectivity. Next step was the
284
      Spartan-6 based Nexys3. Now is time to continue with 7-Series FPGAs.
285
    - When Vivado started in 2013 it was immediately clear that the architecture
286
      is far superior to ISE. But tests with the first versions were sobering,
287
      the w11a design either didn't compile at all, or produced faulty synthesis
288
      results. In 2014 Vivado matured, and the current version 2014.4 works
289
      fine with the w11a code base.
290
    - The original Nexys4 board allowed to quickly port Nexys3 version because
291
      both have the same memory chip. The newer Nexys4 DDR will be addressed
292
      later.
293
    - The BRAM capacity of FPGAs increased significantly over time. The low
294
      cost Basys3 board with the second smallest Artix-7 (XC7A35T) has 200 KB
295
      BRAM. That allows to implement a purely BRAM based w11a system with
296
      176 kB memory. Not enough for 2.11BSD, but for many other less demanding
297
      OS available for a PDP11.
298
    - The Nexyx4 and Basys3 have 16 LEDs. Not quite the 'blinking lights'
299
      console of the classic 11/45 and 11/70, but enough to display the
300
      well known OS typical light patterns the veterans remember so well.
301
    - With a new design tool, a new FPGA generation, two new boards, and a
302
      new interface for the rlink connection that some of the code and tools
303
      base had to be re-organized.
304
    - Last but not least: finally access to a bit bigger disks: RL11 support
305
    - Many changes, some known issues, some rough edges may still lurke around
306
 
307
  - Summary
308
    - added support for Vivado
309
    - added support for Nexys4 and Basys3 boards
310
    - added RL11 disk support
311
    - lots of documentation updated
312
 
313
  - New features
314
    - new directory trees for
315
      - rtl/bplib/basys3            - support for Digilent Basys3 board
316
      - rtl/bplib/nexys4            - support for Digilent Nexys4 board
317
      - rtl/make_viv                - make includes for Vivado
318
    - new files
319
      - tools/bin/xviv_ghdl_unisim  - ghdl compile Vivado UNISIM & UNIMACRO libs
320
    - new modules
321
      - rtl/ibus/ibdr_rl11          - ibus controller for RL11
322
      - rtl/vlib/rlink/ioleds_sp1c  - io activity leds for rlink+serport_1clk
323
      - rtl/vlib/xlib
324
        - s7_cmt_sfs_gsim             - Series-7 CMT: simple vhdl model
325
        - s7_cmt_sfs_unisim           - Series-7 CMT: wrapper for UNISIM
326
      - rtl/w11a
327
        - pdp11_bram_memctl           - simple BRAM based memctl
328
        - pdp11_dspmux                - mux for hio display
329
        - pdp11_ledmux                - mux for hio leds
330
        - pdp11_statleds              - status led generator
331
      - tools/src/librw11/
332
        - Rw11*RL11                   - classes for RL11 disk handling
333
      - tools/src/librwxxtpp
334
        - RtclRw11*RL11               - tcl iface for RL11 disk handling
335
    - new systems
336
      - rtl/sys_gen/tst_rlink       - rlink tester
337
        - basys3/sys_tst_rlink_b3     - for Basys3
338
        - nexys4/sys_tst_rlink_n4     - for Nexys4
339
      - rtl/sys_gen/tst_serloop     - serport loop tester
340
        - nexys4/sys_tst_serloop_n4   - for Nexys4
341
      - rtl/sys_gen/tst_snhumanio   - human I/O tester
342
        - basys3/sys_tst_snhumanio_b3 - for Basys3
343
        - nexys4/sys_tst_snhumanio_n4 - for Nexys4
344
      - rtl/sys_gen/w11a            - w11a
345
        - basys3/sys_w11a_b3          - small BRAM only (176 kB memory)
346
        - nexys4/sys_w11a_n4          - with full 4 MB memory using cram
347
    - new oskits
348
      - tools/oskit/211bsd_rl       - new oskit for 2.11BSD on RL02
349
      - tools/oskit/rt11-53_rl      - new oskit for RT11 V5.3 on RL02
350
      - tools/oskit/xxdp_rl         - new oskit for XXDP 22 and 25 on RL02
351
 
352
  - Changes
353
    - renames
354
      - ensure that old ISE and new Vivado co-exists, ensure telling names
355
        - rtl/make                        -> make_ise
356
        - rtl/bplib/bpgen/sn_4x7segctl    -> sn_7segctl
357
        - tools/bin/isemsg_filter         -> xise_msg_filter
358
        - tools/bin/xilinx_ghdl_unisim    -> xise_ghdl_unisim
359
        - tools/bin/xilinx_ghdl_simprim   -> xise_ghdl_simprim
360
 
361
    - retired files
362
      - rtl/bplib/fx2lib
363
        - fx2_2fifoctl_as    - obsolete, wasn't actively used since long
364
      - tools/bin
365
        - set_ftdi_lat       - obsolete, since kernel 2.6.32 the default is 1 ms
366
        - xilinx_vhdl_chop   - obsolete, since ISE 11 sources come chopped
367
 
368
    - functional changes
369
      - $RETROBASE/Makefile           - re-structured, many new targets
370
      - rtl/bplib/bpgen
371
        - sn_7segctl                  - handle also 8 digit displays
372
        - sn_humanio                  - configurable SWI and DSP width
373
        - sn_humanio_rbus             - configurable SWI and DSP width
374
      - rtl/vlib/serport
375
        - serport_1clock              - export fractional part of divider
376
      - rtl/ibus
377
        - ibdr_maxisys                - add RL11 (ibdr_rl11)
378
      - rtl/sys_gen/w11a/*
379
        - sys_w11a_*                  - use new led and dsp control modules
380
      - tools/src/librlink
381
        - RlinkConnect                - drop LogOpts, indivitual getter/setter
382
        - RlinkPortTerm               - support custom baud rates (5M,6M,10M,12M)
383
      - tools/src/librtcltools
384
        - RtclGetList                 - add '?' (key list) and '*' (kv list)
385
        - RtclSetList                 - add '?' (key list)
386
        - RlogFile                    - Open(): now with cout/cerr support
387
      - tools/src/librlinktpp
388
        - RtclRlinkConnect            - drop config cmd, use get/set cmd
389
        - RtclRlinkPort               - drop config cmd, use get/set cmd
390
      - tools/src/librw11
391
        - Rw11Rdma                    - PreExecCB() with nwdone and nwnext
392
        - Rw11UnitDisk                - add Nwrd2Nblk()
393
      - tools/src/librwxxtpp
394
        - RtclRw11CntlFactory         - add RL11 support
395
      - tools/bin
396
        - xise_ghdl_unisim            - handle also UNIMACRO lib
397
        - vbomconv                    - handle Vivado flows too
398
 
399
  - Bug fixes
400
    - tools/src/librw11
401
      - Rw11CntlRK11                  - revise RdmaPostExecCB() logic
402
 
403
  - Known issues
404
    - V0.64-7: ghdl simulated OS boots via ti_w11 (-n4 ect options) fail due to
405
        a flow control issue (likely since V0.63).
406
    - V0.64-6: IO delays still unconstraint in vivado. All critical IOs use
407
        explicitly IOB flops, thus timing well defined.
408
    - V0.64-5: w11a_tb_guide.txt covers only ISE based tests (see also V0.64-4).
409
    - V0.64-4: No support for the Vivado simulator (xsim) yet. With ghdl only
410
        functional simulations, post synthesis (_ssim) fails to compile.
411
    - V0.64-3: Highest baud rate with basys3 and nexys4 is 10 MBaud. 10 MBaud
412
        is not supported according to FTDI, but works. 12 MBaud in next release.
413
    - V0.64-2: rlink throughput on basys3/nexys4 limited by serial port stack
414
        round trip times. Will be overcome by libusb based custom driver.
415
    - V0.64-1: The large default transfer size for disk accesses leads to bad
416
        throughput in the DL11 emulation for low speed links, like the
417
        460kBaud the S3board is limited too. Will be overcome by a DL11
418
        controller with more buffering.
419
    - V0.62-2: rlink v4 error recovery not yet implemented, will crash on error
420
    - V0.62-1: Command lists aren't split to fit in retransmit buffer size
421
        {last two issues not relevant for w11 backend over USB usage because
422
        the backend produces proper command lists and the USB channel is
423
        usually error free}
424
 
425 28 wfjm
- trunk (2015-01-04: svn rev 28(oc) 629(wfjm); untagged w11a_V0.63)  +++++++++
426
 
427
  - Summary
428
    - the w11a rbus interface used so far a narrow dynamically adjusted
429
      rbus->ibus window. Replaces with a 4k word window for whole IO page.
430
    - utilize rlink protocol version 4 features in w11a backend
431
      - use attn notifies to dispatch attn handlers
432
      - use larger blocks (7*512 rather 1*512 bytes) for rdma transfers
433
      - use labo and merge csr updates with last block transfer
434
      - this combined reduces the number of round trips by a factor 2 to 3,
435
        and in some cases the throughput accordingly.
436
 
437
  - Remarks on reference system
438
    - still using tcl 8.5 (even though 8.6 is now default in Ub 14.04)
439
    - don't use doxygen 1.8.8 and 1.8.9, it fails to generate vhdl docs
440
 
441
  - New features
442
    - new modules
443
      - tools/bin
444
        - ghdl_assert_filter      - filter to suppress startup warnings
445
        - tbrun_tbw               - wrapper for tbw based test benches
446
        - tbrun_tbwrri            - wrapper for ti_rri + tbw based test benches
447
      - tools/src/librw11
448
        - Rw11Rdma                - Rdma engine base class
449
        - Rw11RdmaDisk            - Rdma engine for disk emulation
450
 
451
  - Changes
452
    - rtl/vlib/rlink
453
      - rlink_core                - use 4th stat bit to signal rbus timeout
454
    - rtl/vlib/rbus
455
      - rbd_rbmon                 - reorganized, supports now 16 bit addresses
456
    - rtl/w11a
457
      - pdp11_core_rbus           - use full size 4k word ibus window
458
    - tools/bin/tbw               - add -fifo and -verbose options
459
    - tools/src/librtools
460
      - Rexception                - add ctor from RerrMsg
461
    - tools/src/librlink
462
      - RlinkCommandExpect        - rblk/wblk done counts now expectable
463
      - RlinkConnect              - cleanups and minor enhancements
464
      - RlinkServer               - use attn notifies to dispatch handlers
465
    - tools/src/librw11
466
      - Rw11CntlRK11              - re-organize, use now Rw11RdmaDisk
467
      - Rw11Cpu                   - add ibus address map
468
    - tools/src/librwxxtpp
469
      - RtclRw11CntlRK11          - add get/set for ChunkSize
470
      - RtclRw11Cpu               - add amap sub-command for ibus map access
471
 
472
  - Resolved known issues from V0.62
473
    - the rbus monitor (rbd_rbmon) has been updated to handle 16 bit addresses
474
 
475
  - Known issues
476
    - (V0.62): rlink v4 error recovery not yet implemented, will crash on error
477
    - (V0.62): command lists aren't split to fit in retransmit buffer size
478
      {both issues not relevant for w11 backend over USB usage because the
479
       backend produces proper command lists and the USB channel is error free}
480
 
481 27 wfjm
- trunk (2014-12-20: svn rev 27(oc) 614(wfjm); untagged w11a_V0.62)  +++++++++
482 5 wfjm
 
483 25 wfjm
  - Summary
484 27 wfjm
    - migrate to rlink protocol version 4
485
      - Goals for rlink v4
486
        - 16 bit addresses (instead of 8 bit)
487
        - more robust encoding, support for error recovery at transport level
488
        - add features to reduce round trips
489
          - improved attention handling
490
          - new 'list abort' command
491
      - For further details see README_Rlink_V4.txt
492
    - use own C++ based tcl shell tclshcpp instead of tclsh
493
 
494
    Notes:
495
      1. rlink protocol, core, and backend are updated in this release
496
      2. error recovery in backend not yet implemented
497
      3. the designs using rlink are still essentially unchanged
498
      4. the new rlink v4 features will be exploited in upcoming releases
499
 
500
  - New reference system
501
    The development and test system was upgraded from Kubuntu 12.04 to 14.04.
502
    The version of several key tools and libraries changed:
503
       linux kernel    3.13.0   (was  3.2.0)
504
       gcc/g++         4.8.2    (was  4.6.3)
505
       boost           1.54     (was  1.46.1)
506
       libusb          1.0.17   (was  1.0.9)
507
       perl            5.18.2   (was  5.14.2)
508
       tcl             8.5.15   (was  8.5.11)
509
       sdcc            3.3.0    (was  2.9.0)
510
       doxygen         1.8.7    {installed from sources; Ub 14.04 has 1.8.6}
511
 
512
    Notes:
513
      1. still using tcl 8.5 (even though 8.6 is now default in Ub 14.04)
514
      2. sdcc 3.x is not source compatible with sdcc 2.9. The Makefile
515
         allows to use both, see tools/fx2/src/README.txt .
516
      3. don't use doxygen 1.8.8, it fails to generate vhdl docs
517
 
518
  - New features
519
    - new environment variables TCLLIB and TCLLIBNAME. TCLLIBNAME must be
520
      defined, and hold the library name matching the Tcl version already
521
      specified with TCLINC.
522
    - new modules
523
      - rtl/vlib/comlib/crc16     - 16 bit crc generator (replaces crc8)
524
      - tools/src/tclshcpp/*      - new tclshcpp shell
525
 
526
  - Changes
527
    - rtl/vlib/comlib
528
      - byte2cdata,cdata2byte     - re-write, commas now 2 byte sequences
529
    - rtl/vlib/rlink
530
      - rlink_core                - re-write for rlink v4
531
    - rtl/*/*                     - use new rlink v4 iface and 4 bit STAT
532
    - rtl/vlib/rbus/rbd*          - new addresses in 16 bit rlink space
533
    - rtl/vlib/simlib/simlib      - add simfifo_*, wait_*, writetrace
534
    - tools/bin/
535
      - fx2load_wrapper           - use _ic instead of _as as default firmware
536
      - ti_rri                    - use tclshcpp (C++ based) rather tclsh
537
    - tools/fx2/bin/*.ihx         - recompiled with sdcc 3.3.0 + bugfixes
538
    - tools/fx2/src/Makefile      - support sdcc 3.3.0
539
    - tools/src/
540
      - */*.cpp                   - adopt for rlink v4; use nullptr
541
      - librlink/RlinkCrc16       - 16 crc, replaces RlinkCrc8
542
      - librlink/RlinkConnect     - many changes for rlink v4
543
      - librlink/RlinkPacketBuf*  - re-write for for rlink v4
544
    - tools/tcl/*/*.tcl           - adopt for rlink v4
545
    - renames:
546
      - tools/bin/telnet_starter  -> tools/bin/console_starter
547
 
548
  - Bug fixes
549
    - tools/fx2/src
550
      - dscr_gen.A51              - correct string 0 descriptor
551
      - lib/syncdelay.h           - handle triple nop now properly
552
 
553
  - Known issues
554
    - rlink v4 error recovery not yet implemented, will crash on error
555
    - command lists aren't split to fit in retransmit buffer size
556
      {both issues not relevant for w11 backend over USB usage because the
557
       backend produces proper command lists and the USB channel is error free}
558
    - the rbus monitor (rbd_rbmon) not yet handling 16 bit addresses and
559
      therefore of limited use
560
 
561
- trunk (2014-08-08: svn rev 25(oc) 579(wfjm); tagged w11a_V0.61)  +++++++++++
562
 
563
  - Summary
564 25 wfjm
    - The div instruction gave wrong results in some corner cases when either
565
      divisor or quotient were the largest negative integer (100000 or -32768).
566
      This is corrected now, for details see ECO-026-div.txt
567
    - some minor updates and fixes to support scripts
568
    - xtwi usage and XTWI_PATH setup explained in INSTALL.txt
569 5 wfjm
 
570 25 wfjm
  - New features
571
    - the Makefile's for in all rtl building block directories allow now to
572
      configure the target board for a test synthesis via the XTW_BOARD
573
      environment variable or XTW_BOARD= make option.
574
 
575
  - Changes
576
    - tools/bin/asm-11            - add call and return opcodes
577
    - tools/bin/create_disk       - add RM02,RM05,RP04,RP07 support
578
    - tools/bin/tbw               - use xtwi to start ISim models
579
    - tools/bin/ticonv_pdpcp      - add --tout and --cmax; support .sdef
580
    - tools/dox/*.Doxyfile        - use now doxygen 1.8.7
581
    - tools/src/librw11
582
      - Rw11CntlRK11              - add statistics
583
 
584
  - Bug fixes
585
    - rtl/w11a                    - div bug ECO-026
586
      - pdp11_munit                 - port changes; fix divide logic
587
      - pdp11_sequencer             - s_opg_div_sr: check for late div_quit
588
      - pdp11_dpath                 - port changes for pdp11_munit
589
    - tools/bin/create_disk       - repair --boot option (was inaccessible)
590
    - tools/bin/ti_w11            - split args now into ti_w11 opts and cmds
591
    - tools/src/librwxxtpp
592
      - RtclRw11Cpu                 - redo estatdef logic; avoid LastExpect()
593
    - tools/dox/make_doxy         - create directories, fix 'to view use' text
594
 
595
- w11a_V0.6 (2014-06-06) +++++++++++++++++++++++++++++++++++++++++++++++++++++
596
 
597
  cummulative summary of key changes from w11a_V0.5 to w11a_V0.60
598 23 wfjm
  - revised ibus protocol V2  (in w11a_V0.51)
599
  - revised rbus protocol V3  (in w11a_V0.52)
600
  - backend server rewritten in C++ and Tcl (in w11a_V0.53 and w11a_V0.562)
601
  - add Nexys3 port of w11a (in w11a_V0.54)
602
  - add Cypress FX2 support (in w11a_V0.56 and w11a_V0.57)
603
  - added LP11,PC11 support (in w11a_V0.58)
604
  - reference system now ISE 14.7 and Ubuntu 12.04 64 bit, ghdl 0.31
605
  - many code cleanups; use numeric_std
606
  - many documentation improvements
607
  - development status upgraded to beta (from alpha)
608
 
609 25 wfjm
  for details see README-w11a_V.50-w11a_V0.60.txt
610 23 wfjm
 
611 11 wfjm
- w11a_V0.5 (2010-07-23) +++++++++++++++++++++++++++++++++++++++++++++++++++++
612 6 wfjm
 
613 5 wfjm
  Initial release with
614
  - w11a CPU core
615
  - basic set of peripherals: kw11l, dl11, lp11, pc11, rk11/rk05
616
  - just for fun: iist (not fully implemented and tested yet)
617
  - two complete system configurations with
618 29 wfjm
    - for a Digilent S3board    rtl/sys_gen/w11a/s3board/sys_w11a_s3
619 5 wfjm
    - for a Digilent Nexys2     rtl/sys_gen/w11a/nexys2/sys_w11a_n2

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.