OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [bplib/] [arty/] [arty_pins.xdc] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 35 wfjm
# -*- tcl -*-
2 36 wfjm
# $Id: arty_pins.xdc 758 2016-04-02 18:01:39Z mueller $
3 35 wfjm
#
4
# Copyright 2016- by Walter F.J. Mueller 
5
# License disclaimer see LICENSE_gpl_v2.txt in $RETROBASE directory
6
#
7 36 wfjm
# Digilent Arty core functionality
8
# - Configuration setup
9
#   - config voltage
10
#   - enable bitstream timestamp
11
# - Pin Locks for
12
#   - USB UART
13
#   - human I/O (switches, buttons, leds)
14 35 wfjm
#
15
# Revision History:
16
# Date         Rev Version  Comment
17 36 wfjm
# 2016-04-02   758   1.2    add BITSTREAM.CONFIG.USR_ACCESS setup
18 35 wfjm
# 2016-03-06   740   1.1    add A_VPWRP/N to baseline config
19
# 2016-01-31   726   1.0    Initial version
20
#
21
 
22
# config setup --------------------------------------------------------------
23
set_property CFGBVS         VCCO [current_design]
24
set_property CONFIG_VOLTAGE  3.3 [current_design]
25 36 wfjm
set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [current_design]
26 35 wfjm
 
27
# clocks -- in bank 35 ------------------------------------------------------
28
set_property PACKAGE_PIN e3  [get_ports {I_CLK100}]
29
set_property IOSTANDARD LVCMOS33 [get_ports {I_CLK100}]
30
 
31
#
32
# USB UART Interface -- in bank 16 ------------------------------------------
33
set_property PACKAGE_PIN a9  [get_ports {I_RXD}]
34
set_property PACKAGE_PIN d10 [get_ports {O_TXD}]
35
 
36
set_property IOSTANDARD LVCMOS33 [get_ports {I_RXD O_TXD}]
37
set_property DRIVE 12   [get_ports {O_TXD}]
38
set_property SLEW SLOW  [get_ports {O_TXD}]
39
 
40
#
41
# switches -- in bank 16 ----------------------------------------------------
42
set_property PACKAGE_PIN a8  [get_ports {I_SWI[0]}]
43
set_property PACKAGE_PIN c11 [get_ports {I_SWI[1]}]
44
set_property PACKAGE_PIN c10 [get_ports {I_SWI[2]}]
45
set_property PACKAGE_PIN a10 [get_ports {I_SWI[3]}]
46
 
47
set_property IOSTANDARD LVCMOS33 [get_ports {I_SWI[*]}]
48
 
49
#
50
# buttons -- in bank 16 -----------------------------------------------------
51
set_property PACKAGE_PIN d9  [get_ports {I_BTN[0]}]
52
set_property PACKAGE_PIN c9  [get_ports {I_BTN[1]}]
53
set_property PACKAGE_PIN b9  [get_ports {I_BTN[2]}]
54
set_property PACKAGE_PIN b8  [get_ports {I_BTN[3]}]
55
 
56
set_property IOSTANDARD LVCMOS33 [get_ports {I_BTN[*]}]
57
 
58
#
59
# LEDs -- in bank 14+35 -----------------------------------------------------
60
set_property PACKAGE_PIN h5  [get_ports {O_LED[0]}]
61
set_property PACKAGE_PIN j5  [get_ports {O_LED[1]}]
62
set_property PACKAGE_PIN t9  [get_ports {O_LED[2]}]
63
set_property PACKAGE_PIN t10 [get_ports {O_LED[3]}]
64
 
65
set_property IOSTANDARD LVCMOS33 [get_ports {O_LED[*]}]
66
set_property DRIVE 12            [get_ports {O_LED[*]}]
67
set_property SLEW SLOW           [get_ports {O_LED[*]}]
68
 
69
#
70
# RGB-LEDs -- in bank 35 ----------------------------------------------------
71
#   Note: [0] red  [1] green  [2] blue
72
set_property PACKAGE_PIN g6  [get_ports {O_RGBLED0[0]}]
73
set_property PACKAGE_PIN f6  [get_ports {O_RGBLED0[1]}]
74
set_property PACKAGE_PIN e1  [get_ports {O_RGBLED0[2]}]
75
 
76
set_property PACKAGE_PIN g3  [get_ports {O_RGBLED1[0]}]
77
set_property PACKAGE_PIN j4  [get_ports {O_RGBLED1[1]}]
78
set_property PACKAGE_PIN g4  [get_ports {O_RGBLED1[2]}]
79
 
80
set_property PACKAGE_PIN j3  [get_ports {O_RGBLED2[0]}]
81
set_property PACKAGE_PIN j2  [get_ports {O_RGBLED2[1]}]
82
set_property PACKAGE_PIN h4  [get_ports {O_RGBLED2[2]}]
83
 
84
set_property PACKAGE_PIN k1  [get_ports {O_RGBLED3[0]}]
85
set_property PACKAGE_PIN h6  [get_ports {O_RGBLED3[1]}]
86
set_property PACKAGE_PIN k2  [get_ports {O_RGBLED3[2]}]
87
 
88
set_property IOSTANDARD LVCMOS33 [get_ports {O_RGBLED0[*] O_RGBLED1[*]}]
89
set_property DRIVE 12            [get_ports {O_RGBLED0[*] O_RGBLED1[*]}]
90
set_property SLEW SLOW           [get_ports {O_RGBLED0[*] O_RGBLED1[*]}]
91
set_property IOSTANDARD LVCMOS33 [get_ports {O_RGBLED2[*] O_RGBLED3[*]}]
92
set_property DRIVE 12            [get_ports {O_RGBLED2[*] O_RGBLED3[*]}]
93
set_property SLEW SLOW           [get_ports {O_RGBLED2[*] O_RGBLED3[*]}]
94
 
95
#
96
# power measurements -- in bank 15 ------------------------------------------
97
set_property PACKAGE_PIN c12 [get_ports {A_VPWRP[0]}];      # ad1p  -> vaux(1)
98
set_property PACKAGE_PIN b12 [get_ports {A_VPWRN[0]}];      # ad1n  (VU volt)
99
set_property PACKAGE_PIN b16 [get_ports {A_VPWRP[1]}];      # ad2p  -> vaux(2)
100
set_property PACKAGE_PIN b17 [get_ports {A_VPWRN[1]}];      # ad2n  (5V0 volt)
101
set_property PACKAGE_PIN f13 [get_ports {A_VPWRP[2]}];      # ad9p  -> vaux(9)
102
set_property PACKAGE_PIN f14 [get_ports {A_VPWRN[2]}];      # ad9n  (5V0 curr)
103
set_property PACKAGE_PIN a15 [get_ports {A_VPWRP[3]}];      # ad10p -> vaux(10)
104
set_property PACKAGE_PIN a16 [get_ports {A_VPWRN[3]}];      # ad10n (0V95 curr)
105
 
106
set_property IOSTANDARD LVCMOS33 [get_ports {A_VPWRP[*] A_VPWRN[*]}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.