OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [bplib/] [nexys2/] [nexys2lib.vhd] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 wfjm
-- $Id: nexys2lib.vhd 338 2010-11-13 22:19:25Z mueller $
2 2 wfjm
--
3
-- Copyright 2010- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Package Name:   nexys2lib
16
-- Description:    Nexys 2 components
17
-- 
18
-- Dependencies:   -
19 8 wfjm
-- Tool versions:  xst 11.4, 12.1; ghdl 0.26-0.29
20
--
21 2 wfjm
-- Revision History: 
22
-- Date         Rev Version  Comment
23 8 wfjm
-- 2010-11-13   338   1.0.2  add O_CLKSYS to aif's (DCM derived system clock)
24
-- 2010-11-06   336   1.0.4  rename input pin CLK -> I_CLK50
25 2 wfjm
-- 2010-05-28   295   1.0.3  use _ADV_N also for n2_cram_dummy
26
-- 2010-05-23   294   1.0.2  add n2_cram_dummy;
27
-- 2010-05-23   293   1.0.1  use _ADV_N rather _ADV; add generic for memctl
28
-- 2010-05-21   292   1.0    Initial version 
29
------------------------------------------------------------------------------
30
 
31
library ieee;
32
use ieee.std_logic_1164.all;
33
 
34
use work.slvtypes.all;
35
 
36
package nexys2lib is
37
 
38
component nexys2_aif is                 -- NEXYS 2, abstract iface, base
39
  port (
40 8 wfjm
    I_CLK50 : in slbit;                 -- 50 MHz board clock
41
    O_CLKSYS : out slbit;               -- DCM derived system clock
42 2 wfjm
    I_RXD : in slbit;                   -- receive data (board view)
43
    O_TXD : out slbit;                  -- transmit data (board view)
44
    I_SWI : in slv8;                    -- s3 switches
45
    I_BTN : in slv4;                    -- s3 buttons
46
    O_LED : out slv8;                   -- s3 leds
47
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
48
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
49
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
50
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
51
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
52
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
53
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
54
    O_MEM_CLK : out slbit;              -- cram: clock
55
    O_MEM_CRE : out slbit;              -- cram: command register enable
56
    I_MEM_WAIT : in slbit;              -- cram: mem wait
57
    O_FLA_CE_N : out slbit;               -- flash ce..        (act.low)
58
    O_MEM_ADDR  : out slv23;            -- cram: address lines
59
    IO_MEM_DATA : inout slv16           -- cram: data lines
60
  );
61
end component;
62
 
63
component nexys2_fusp_aif is           -- NEXYS 2, abstract iface, base+fusp
64
  port (
65 8 wfjm
    I_CLK50 : in slbit;                 -- 50 MHz board clock
66
    O_CLKSYS : out slbit;               -- DCM derived system clock
67 2 wfjm
    I_RXD : in slbit;                   -- receive data (board view)
68
    O_TXD : out slbit;                  -- transmit data (board view)
69
    I_SWI : in slv8;                    -- s3 switches
70
    I_BTN : in slv4;                    -- s3 buttons
71
    O_LED : out slv8;                   -- s3 leds
72
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
73
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
74
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
75
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
76
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
77
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
78
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
79
    O_MEM_CLK : out slbit;              -- cram: clock
80
    O_MEM_CRE : out slbit;              -- cram: command register enable
81
    I_MEM_WAIT : in slbit;              -- cram: mem wait
82
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
83
    O_MEM_ADDR  : out slv23;            -- cram: address lines
84
    IO_MEM_DATA : inout slv16;          -- cram: data lines
85
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
86
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
87
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
88
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
89
  );
90
end component;
91
 
92
component n2_cram_dummy is              -- CRAM protection dummy 
93
  port (
94
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
95
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
96
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
97
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
98
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
99
    O_MEM_CLK : out slbit;              -- cram: clock
100
    O_MEM_CRE : out slbit;              -- cram: command register enable
101
    I_MEM_WAIT : in slbit;              -- cram: mem wait
102
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
103
    O_MEM_ADDR  : out slv23;            -- cram: address lines
104
    IO_MEM_DATA : inout slv16           -- cram: data lines
105
  );
106
end component;
107
 
108
component n2_cram_memctl_as is          -- CRAM driver (async+page mode)
109
  generic (
110
    READ0DELAY : positive := 2;         -- read word 0 delay in clock cycles
111
    READ1DELAY : positive := 2;         -- read word 1 delay in clock cycles
112
    WRITEDELAY : positive := 3);        -- write delay in clock cycles
113
  port (
114
    CLK : in slbit;                     -- clock
115
    RESET : in slbit;                   -- reset
116
    REQ   : in slbit;                   -- request
117
    WE    : in slbit;                   -- write enable
118
    BUSY : out slbit;                   -- controller busy
119
    ACK_R : out slbit;                  -- acknowledge read
120
    ACK_W : out slbit;                  -- acknowledge write
121
    ACT_R : out slbit;                  -- signal active read
122
    ACT_W : out slbit;                  -- signal active write
123
    ADDR : in slv22;                    -- address (32 bit word address)
124
    BE : in slv4;                       -- byte enable
125
    DI : in slv32;                      -- data in  (memory view)
126
    DO : out slv32;                     -- data out (memory view)
127
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
128
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
129
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
130
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
131
    O_MEM_ADV_N : out slbit;            -- cram: address valid (act.low)
132
    O_MEM_CLK : out slbit;              -- cram: clock
133
    O_MEM_CRE : out slbit;              -- cram: command register enable
134
    I_MEM_WAIT : in slbit;              -- cram: mem wait
135
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
136
    O_MEM_ADDR  : out slv23;            -- cram: address lines
137
    IO_MEM_DATA : inout slv16           -- cram: data lines
138
  );
139
end component;
140
 
141
end nexys2lib;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.