OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [bplib/] [nexys4/] [nexys4_pins_cram.xdc] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 wfjm
# -*- tcl -*-
2
# $Id: nexys4_pins_cram.xdc 643 2015-02-07 17:41:53Z mueller $
3
#
4
# Pin locks for Nexys 4 cram
5
#
6
# Revision History:
7
# Date         Rev Version  Comment
8
# 2015-02-06   643   1.0    Initial version (derived from nexys4_pins.xdc)
9
#
10
 
11
# CRAM -- in bank 14+15 -----------------------------------------------------
12
set_property PACKAGE_PIN l18 [get_ports {O_MEM_CE_N}]
13
set_property PACKAGE_PIN r11 [get_ports {O_MEM_WE_N}]
14
set_property PACKAGE_PIN h14 [get_ports {O_MEM_OE_N}]
15
 
16
set_property IOSTANDARD LVCMOS33 [get_ports {O_MEM_CE_N O_MEM_WE_N O_MEM_OE_N}]
17
set_property DRIVE 12            [get_ports {O_MEM_CE_N O_MEM_WE_N O_MEM_OE_N}]
18
set_property SLEW FAST           [get_ports {O_MEM_CE_N O_MEM_WE_N O_MEM_OE_N}]
19
#
20
set_property PACKAGE_PIN j15 [get_ports {O_MEM_BE_N[0]}]
21
set_property PACKAGE_PIN j13 [get_ports {O_MEM_BE_N[1]}]
22
 
23
set_property IOSTANDARD LVCMOS33 [get_ports {O_MEM_BE_N[*]}]
24
set_property DRIVE 12            [get_ports {O_MEM_BE_N[*]}]
25
set_property SLEW FAST           [get_ports {O_MEM_BE_N[*]}]
26
#
27
set_property PACKAGE_PIN t13 [get_ports {O_MEM_ADV_N}]
28
set_property PACKAGE_PIN t15 [get_ports {O_MEM_CLK}]
29
set_property PACKAGE_PIN j14 [get_ports {O_MEM_CRE}]
30
 
31
set_property IOSTANDARD LVCMOS33 [get_ports {O_MEM_ADV_N O_MEM_CLK O_MEM_CRE}]
32
set_property DRIVE 12            [get_ports {O_MEM_ADV_N O_MEM_CLK O_MEM_CRE}]
33
set_property SLEW FAST           [get_ports {O_MEM_ADV_N O_MEM_CLK O_MEM_CRE}]
34
 
35
#
36
set_property PACKAGE_PIN t14 [get_ports {I_MEM_WAIT}]
37
set_property IOSTANDARD LVCMOS33 [get_ports {I_MEM_WAIT}]
38
 
39
#
40
set_property PACKAGE_PIN j18 [get_ports {O_MEM_ADDR[0]}]
41
set_property PACKAGE_PIN h17 [get_ports {O_MEM_ADDR[1]}]
42
set_property PACKAGE_PIN h15 [get_ports {O_MEM_ADDR[2]}]
43
set_property PACKAGE_PIN j17 [get_ports {O_MEM_ADDR[3]}]
44
set_property PACKAGE_PIN h16 [get_ports {O_MEM_ADDR[4]}]
45
set_property PACKAGE_PIN k15 [get_ports {O_MEM_ADDR[5]}]
46
set_property PACKAGE_PIN k13 [get_ports {O_MEM_ADDR[6]}]
47
set_property PACKAGE_PIN n15 [get_ports {O_MEM_ADDR[7]}]
48
set_property PACKAGE_PIN v16 [get_ports {O_MEM_ADDR[8]}]
49
set_property PACKAGE_PIN u14 [get_ports {O_MEM_ADDR[9]}]
50
set_property PACKAGE_PIN v14 [get_ports {O_MEM_ADDR[10]}]
51
set_property PACKAGE_PIN v12 [get_ports {O_MEM_ADDR[11]}]
52
set_property PACKAGE_PIN p14 [get_ports {O_MEM_ADDR[12]}]
53
set_property PACKAGE_PIN u16 [get_ports {O_MEM_ADDR[13]}]
54
set_property PACKAGE_PIN r15 [get_ports {O_MEM_ADDR[14]}]
55
set_property PACKAGE_PIN n14 [get_ports {O_MEM_ADDR[15]}]
56
set_property PACKAGE_PIN n16 [get_ports {O_MEM_ADDR[16]}]
57
set_property PACKAGE_PIN m13 [get_ports {O_MEM_ADDR[17]}]
58
set_property PACKAGE_PIN v17 [get_ports {O_MEM_ADDR[18]}]
59
set_property PACKAGE_PIN u17 [get_ports {O_MEM_ADDR[19]}]
60
set_property PACKAGE_PIN t10 [get_ports {O_MEM_ADDR[20]}]
61
set_property PACKAGE_PIN m16 [get_ports {O_MEM_ADDR[21]}]
62
set_property PACKAGE_PIN u13 [get_ports {O_MEM_ADDR[22]}]
63
 
64
set_property IOSTANDARD LVCMOS33 [get_ports {O_MEM_ADDR[*]}]
65
set_property DRIVE 8             [get_ports {O_MEM_ADDR[*]}]
66
set_property SLEW FAST           [get_ports {O_MEM_ADDR[*]}]
67
 
68
#
69
set_property PACKAGE_PIN r12 [get_ports {IO_MEM_DATA[0]}]
70
set_property PACKAGE_PIN t11 [get_ports {IO_MEM_DATA[1]}]
71
set_property PACKAGE_PIN u12 [get_ports {IO_MEM_DATA[2]}]
72
set_property PACKAGE_PIN r13 [get_ports {IO_MEM_DATA[3]}]
73
set_property PACKAGE_PIN u18 [get_ports {IO_MEM_DATA[4]}]
74
set_property PACKAGE_PIN r17 [get_ports {IO_MEM_DATA[5]}]
75
set_property PACKAGE_PIN t18 [get_ports {IO_MEM_DATA[6]}]
76
set_property PACKAGE_PIN r18 [get_ports {IO_MEM_DATA[7]}]
77
set_property PACKAGE_PIN f18 [get_ports {IO_MEM_DATA[8]}]
78
set_property PACKAGE_PIN g18 [get_ports {IO_MEM_DATA[9]}]
79
set_property PACKAGE_PIN g17 [get_ports {IO_MEM_DATA[10]}]
80
set_property PACKAGE_PIN m18 [get_ports {IO_MEM_DATA[11]}]
81
set_property PACKAGE_PIN m17 [get_ports {IO_MEM_DATA[12]}]
82
set_property PACKAGE_PIN p18 [get_ports {IO_MEM_DATA[13]}]
83
set_property PACKAGE_PIN n17 [get_ports {IO_MEM_DATA[14]}]
84
set_property PACKAGE_PIN p17 [get_ports {IO_MEM_DATA[15]}]
85
 
86
set_property IOSTANDARD LVCMOS33 [get_ports {IO_MEM_DATA[*]}]
87
set_property DRIVE 8             [get_ports {IO_MEM_DATA[*]}]
88
set_property SLEW SLOW           [get_ports {IO_MEM_DATA[*]}]
89
set_property KEEPER true         [get_ports {IO_MEM_DATA[*]}]
90
#

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.