OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [bplib/] [nexys4/] [tb/] [nexys4_dummy.vhd] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 wfjm
-- $Id: nexys4_dummy.vhd 643 2015-02-07 17:41:53Z mueller $
2
--
3
-- Copyright 2013-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
-- 
14
------------------------------------------------------------------------------
15
-- Module Name:    nexys4_dummy - syn
16
-- Description:    nexys4 minimal target (base; serport loopback)
17
--
18
-- Dependencies:   -
19
-- To test:        tb_nexys4
20
-- Target Devices: generic
21
-- Tool versions:  ise 14.5-14.7; viv 2014.4; ghdl 0.29-0.31
22
--
23
-- Revision History: 
24
-- Date         Rev Version  Comment
25
-- 2015-02-06   643   1.3    factor out memory
26
-- 2015-02-01   641   1.1    separate I_BTNRST_N
27
-- 2013-09-21   534   1.0    Initial version (derived from nexys3_dummy)
28
------------------------------------------------------------------------------
29
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
 
33
use work.slvtypes.all;
34
 
35
entity nexys4_dummy is                  -- NEXYS 4 dummy (base; loopback)
36
                                        -- implements nexys4_aif
37
  port (
38
    I_CLK100 : in slbit;                -- 100 MHz board clock
39
    I_RXD : in slbit;                   -- receive data (board view)
40
    O_TXD : out slbit;                  -- transmit data (board view)
41
    O_RTS_N : out slbit;                -- rx rts (board view; act.low)
42
    I_CTS_N : in slbit;                 -- tx cts (board view; act.low)
43
    I_SWI : in slv16;                   -- n4 switches
44
    I_BTN : in slv5;                    -- n4 buttons
45
    I_BTNRST_N : in slbit;              -- n4 reset button
46
    O_LED : out slv16;                  -- n4 leds
47
    O_RGBLED0 : out slv3;               -- n4 rgb-led 0
48
    O_RGBLED1 : out slv3;               -- n4 rgb-led 1
49
    O_ANO_N : out slv8;                 -- 7 segment disp: anodes   (act.low)
50
    O_SEG_N : out slv8                  -- 7 segment disp: segments (act.low)
51
  );
52
end nexys4_dummy;
53
 
54
architecture syn of nexys4_dummy is
55
 
56
begin
57
 
58
  O_TXD    <= I_RXD;                    -- loop back serport
59
  O_RTS_N  <= I_CTS_N;
60
 
61
  O_LED    <= I_SWI;                    -- mirror SWI on LED
62
 
63
  O_RGBLED0 <= I_BTN(2 downto 0);       -- mirror BTN on RGBLED
64
  O_RGBLED1 <= not I_BTNRST_N & I_BTN(4) & I_BTN(3);
65
 
66
  O_ANO_N <= (others=>'1');
67
  O_SEG_N <= (others=>'1');
68
 
69
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.